scispace - formally typeset
Search or ask a question

Showing papers by "Cadence Design Systems published in 2006"


Patent
18 Dec 2006
TL;DR: In this paper, a method and system are described to reduce process variation as a result of the electrochemical deposition (ECD), also referred to as electrochemical plating (ECP), and chemical mechanical polishing (CMP) processing of films in integrated circuit manufacturing processes.
Abstract: A method and system are described to reduce process variation as a result of the electrochemical deposition (ECD), also referred to as electrochemical plating (ECP), and chemical mechanical polishing (CMP) processing of films in integrated circuit manufacturing processes. The described methods use process variation and electrical impact to direct the insertion of dummy fill into an integrated circuit.

191 citations


BookDOI
17 Feb 2006
TL;DR: This work presents Hierarchical Basis Functions for Triangles and Tetrahedra, Three-Dimensional Eigenvalue Analysis of Resonators, and Finite Element Analysis of Periodic Structures, as well as Iterative Methods, Preconditioners, and Multigrid, which describe the construction of these structures.
Abstract: List of Figures. List of Tables. Preface. Acknowledgments. 1. Introduction. 2. Hierarchical Basis Functions for Triangles and Tetrahedra. 3. Finite Element Formulations of Electromagnetic BVPs. 4. Iterative Methods, Preconditioners, and Multigrid. 5. Nested Multigrid Preconditioner. 6. Nested Multigrid Vector and Scaler Potential Preconditioner. 7. Hierarchical Multilevel and Hybrid Potential Preconditioners. 8. Krylov-Subspace Based Eigenvalue Analysis. 9. Two-Dimensional Eigenvalue Analysis of Waveguides. 10. Three-Dimensional Eigenvalue Analysis of Resonators. 11. Model Order Reduction of Electromagnetic Systems. 12. Finite Element Analysis of Periodic Structures. Appendix A: Identities and Theorems from Vector Calculus. Index.

179 citations


Patent
18 Dec 2006
TL;DR: In this article, a method and apparatus for mask optimization is provided, where the parameters may include information such as parametric information, functional information, and hot spots determination, and the mask design and production is optimized by providing proper weighting parameters for critical features.
Abstract: A method and apparatus for mask optimization is provided. Mask design and production is optimized by providing proper weighting parameters for critical features. The parameters may include information such as parametric information, functional information, and hot spots determination.

174 citations


Journal ArticleDOI
TL;DR: In this article, the electron transport of III-V nitride semiconductors, including gallium nitride, aluminum nitride and indium oxide, has been extensively studied.
Abstract: The III–V nitride semiconductors, gallium nitride, aluminum nitride, and indium nitride, have, for some time now, been recognized as promising materials for novel electronic and optoelectronic device applications. As informed device design requires a firm grasp of the material properties of the underlying electronic materials, the electron transport that occurs within these III–V nitride semiconductors has been the focus of considerable study over the years. In an effort to provide some perspective on this rapidly evolving field, in this paper we review analyses of the electron transport within the III–V nitride semiconductors, gallium nitride, aluminum nitride, and indium nitride. In particular, we discuss the evolution of the field, compare and contrast results determined by different researchers, and survey the current literature. In order to narrow the scope of this review, we will primarily focus on the electron transport within bulk wurtzite gallium nitride, aluminum nitride, and indium nitride, for this analysis. Most of our discussion will focus on results obtained from our ensemble semi-classical three-valley Monte Carlo simulations of the electron transport within these materials, our results conforming with state-of-the-art III–V nitride semiconductor orthodoxy. A brief tutorial on the Monte Carlo approach will also be featured. Steady-state and transient electron transport results are presented. We conclude our discussion by presenting some recent developments on the electron transport within these materials.

138 citations


Patent
16 Aug 2006
TL;DR: In this paper, a technique for determining when the result of optical proximity correction will fail to meet the design requirements for printability is presented, without having to perform optical proximity corrections, and a method for checking the printability of a target layout proposed for defining the photomask is presented.
Abstract: A technique for determining, without having to perform optical proximity correction, when the result of optical proximity correction will fail to meet the design requirements for printability. A disclosed embodiment has application to a process for producing a photomask for use in the printing of a pattern on a wafer by exposure with optical radiation to optically image the photomask on the wafer. A method is set forth for checking the printability of a target layout proposed for defining the photomask, including the following steps: deriving a system of inequalities that expresses a set of design requirements with respect to the target layout; and checking the printability of the target layout by determining whether the system of inequalities is feasible.

135 citations


Patent
30 Nov 2006
TL;DR: In this article, a global placement grid of coordinates is formed, where the coordinates represent horizontal and vertical directions, and where the at least one local region is adapted to support non-integer multiple height rows.
Abstract: The various embodiments of the present invention generally relate to systems, methods, and computer program products for placement of at least one cell in a digital integrated circuit layout. A global placement grid of coordinates is formed, where the coordinates represent horizontal and vertical directions. A local placement grid of coordinates is also formed for at least one local region, where the local placement grid of coordinates represent horizontal and vertical directions, and where the at least one local region is adapted to support non-integer multiple height rows. At least one cell is associated with the at least one local region formed, and the cell can be placed in the local placement grid of the local region.

133 citations


BookDOI
23 Mar 2006
TL;DR: This work discusses design for Manufacturability in the Nanometer Era, FPGA Synthesis and Physical Design, and Mixed-Signal Noise Coupling in System-on-Chip Design: Modeling, Analysis and Validation.
Abstract: Design Flows. Logic Synthesis. Power Analysis and Optimization from Circuit to Register Transfer Levels. Equivalence checking. Digital Layout - Placement. Static Timing Analysis. Structured Digital Design. Routing. Exploring Challenges of Libraries for Electronic Design. Design Closure. Tools for Chip-Package Codesign. Design Databases. FPGA Synthesis and Physical Design. Simulation of Analog and Radio Frequency Circuits and Systems. Simulation and Modeling for Analog and Mixed-Signal Integrated Circuits. Layout Tools for Analog ICs and Mixed-Signal SoCs. Design Rule Checking. Resolution Enhancement Technology and Mask Data Preparation. Design for Manufacturability in the Nanometer Era. Power Supply Network Design and Analysis. Noise Considerations in Digital ICs. Layout Extraction. Mixed-Signal Noise Coupling in System-on-Chip Design: Modeling, Analysis and Validation. Process Simulation. Device Modeling: From Physics to Electrical Parameter Extraction. High-Accuracy Parasitic Extraction.

67 citations


Proceedings ArticleDOI
24 Jul 2006
TL;DR: A novel method to produce quality voltage assignment, which not only meets timing but also forms good proximity of the critical cells to provide with a smooth input is presented.
Abstract: Multi-Vdd is an effective method to reduce both leakage and dynamic power. A key challenge in a multi-Vdd design is to limit the design cost and the demand for level shifters. This can be tackled by grouping cells of different supply voltages into a small number of voltage islands. Recently, an elegant algorithm [7] is proposed for generating voltage islands that balance the power versus design cost tradeoff under performance requirement, according to the placement proximity of the critical cells. One prerequisite of [7] is an initial voltage assignment at the standard cell level that meets timing. In this paper, we present a novel method to produce quality voltage assignment to [7], which not only meets timing but also forms good proximity of the critical cells to provide [7] with a smooth input. The algorithm is based on effective delay budgeting and efficient computation of physical proximity by Voronoi diagram. Our extensive experiments on real industrial designs show that our algorithm leads to 25% - 75% improvement in the voltage island generation, with the computation time only linear to the design size.

63 citations


Proceedings ArticleDOI
24 Jul 2006
TL;DR: A tools perspective is presented, including the primary effects such as HCI, NBTI and EM for which EDA tools are available, types of tools and necessary reliability infrastructure and flows that have been working in practice, and developing areas and future opportunities are addressed.
Abstract: Recent progress in EDA tools allows IC designs to be accurately verified with consequent improvements in yield and performance through reduced guard bands. This paper will present a tools perspective, including the primary effects such as HCI, NBTI and EM for which EDA tools are available, types of tools (dynamic simulation vs. static rule checking) and necessary reliability infrastructure and flows that have been working in practice. Finally, developing areas and future opportunities will be addressed.

55 citations


Patent
04 May 2006
TL;DR: In this paper, a method, system and computer program product for determining aggressor-induced crosstalk in a victim net of a stage of an integrated circuit design is provided.
Abstract: A method, system and computer program product for determining aggressor-induced crosstalk in a victim net of a stage of an integrated circuit design is provided. The methodology can include combining a plurality of aggressor nets to construct a virtual aggressor net, determining a current waveform induced on the victim net by the plurality of small aggressor nets, and modeling a current waveform induced by the virtual aggressor on the victim net based on the contribution of the current waveforms determined for the plurality of small aggressor nets. In a further embodiment, the methodology can also comprise evaluating an effect of an aggressor net on a victim net; and including that aggressor net in the virtual aggressor net if its effect is below a predetermined threshold. The effect evaluated by the methodology can, for example, be the height of a glitch induced on the victim net by a transition in the aggressor net. Additionally, the aggressor net can be included in the virtual aggressor net if the height of the glitch it induces on the victim net is less than a predetermined factor of the supply voltage. Switching probability can be used to compute a 3-sigma capacitance value, and this value can be used to limit the number of small aggressors included in the virtual aggressor net. The combined currents of the aggressor in the virtual aggressor net can be modeled using a piece-wise linear analysis.

54 citations


Patent
30 Oct 2006
TL;DR: In this article, a method of adding power control circuitry to a circuit design at each RTL and a netlist level comprising demarcating multiple power domains within the circuit design, specifying multiple power modes each power mode corresponding to a different combination of on/off states of the multiple demarcated power domains, and defining isolation behavior relative to respective power domains is presented.
Abstract: A method of adding power control circuitry to a circuit design at each of an RTL and a netlist level comprising: demarcating multiple power domains within the circuit design; specifying multiple power modes each power mode corresponding to a different combination of on/off states of the multiple demarcated power domains; and defining isolation behavior relative to respective power domains.

Proceedings ArticleDOI
22 Oct 2006
TL;DR: A new protocol is proposed which generalizes the previous schemes and is not only semantics-preserving but also memory-optimal in two senses: first, in terms of the number of buffers required to preserve semantics in the worst case and at any time, assuming no knowledge of future arrivals.
Abstract: Recently, we have proposed a set of buffering schemes to preserve the semantics of a synchronous program when the latter is implemented as a set of multiple tasks running under preemptive scheduling. These schemes, however, are not optimal in terms of memory (buffer usage). In this paper we propose a new protocol which generalizes the previous schemes. The new protocol is not only semantics-preserving but also memory-optimal in two senses: first, in terms of the number of buffers required to preserve semantics in the worst case (i.e.,for the "worst" possible arrival/execution pattern of the tasks); second, in terms of the number of buffers required to preserve semantics for any arrival/execution pattern and at any time, assuming no knowledge of future arrivals.

BookDOI
23 Mar 2006
TL;DR: In this paper, the IC design process and EDA are described, as well as tools and methods for system-level design, including a code transformational approach to high-level synthesis.
Abstract: Introduction. The IC Design Process and EDA. Tools and Methodologies for System-Level Design. System-level specification and modeling languages. SoC Block Based Design and IP Assembly. Performance Evaluation Methods for MPSoC Design. Processor Modeling and Design Tools. Embedded Software Modeling and Design. Using Performance Metrics to Select Microprocessor Cores for IC Designs. Parallelizing High-Level Synthesis: A Code Transformational Approach to High-Level Synthesis. Cycle-Accurate System-Level Modeling and Performance Evaluation. Micro-Architectural Power Estimation and Optimization. Design Planning. Design and Verification Languages. Digital Simulation. Using Transactional Level Models in a SoC Design Flow. Assertion-based verification. Hardware Acceleration and Emulation. Formal Property Verification. Design for Test. Automatic Test Pattern Generation. Analog and Mixed-Signal Test.

Proceedings ArticleDOI
24 Jul 2006
TL;DR: How systems companies are adopting SystemC transaction level models for system on chip design and verification, and how these transactionlevel models are being reused for RTL verification are described.
Abstract: This paper describes how systems companies are adopting SystemC transaction level models for system on chip design and verification, and how these transaction level models are being reused for RTL verification. The paper discusses how the task of system verification is changing as systems become more complex and it discusses how companies are striving to eliminate fragmentation within their design and verification flows by leveraging SystemC transaction level models.

Journal ArticleDOI
TL;DR: This model includes physical effects like the quasi-saturation, impact-ionization and self-heating, and a new general model for drift resistance, which can be used for any high voltage MOSFET with extended drift region.
Abstract: In this work, we present for the first time, a highly scalable general high voltage MOSFET model, which can be used for any high voltage MOSFET with extended drift region. This model includes physical effects like the quasi-saturation, impact-ionization and self-heating, and a new general model for drift resistance. The model is validated on the measured characteristics of two widely used high voltage devices in the industry i.e. LDMOS and VDMOS devices, and implemented on commercial circuit simulators like SABER (Synopsys), ELDO (Mentor Graphics), Spectre (Cadence) and UltraSim (Cadence). The accuracy of the model is better than 10% for DC I–V and g–V characteristics and shows good behavior for all capacitances which are unique for these devices showing peaks and shift of peaks with bias variation. The model also exhibits excellent scalability with transistor width, drift length, number of fingers and temperature.

Patent
24 Oct 2006
TL;DR: In this paper, DMM systems are provided that incorporate manufacturing variations in the analysis of integrated circuits by calculating predicted manufacturing variations on the shapes of interconnects and devices of the drawn layout of a circuit design.
Abstract: DFM systems are provided that incorporate manufacturing variations in the analysis of integrated circuits by calculating predicted manufacturing variations on the shapes of interconnects and devices of the drawn layout of a circuit design The shape variation on interconnects is converted to variations in resistor-capacitor (RC) parasitics The shape variation on devices is converted to variations in device parameters The variation in device parameters and wire parasitics is converted to changes in timing performance, signal integrity, and power consumption by determining the impact of device parameter and wire parasitic variations on the behavior of each instance of a standard cell The results from these analyses are integrated back into the design flow as incremental delay files (timing), noise failures and buffer insertion/driver resizing commands (noise), and leakage power hotspots and cell substitution commands (power consumption)

Patent
05 Jun 2006
TL;DR: In this paper, the authors use a hardware-based functional verification system to mimic a design under test (DUT), under intended application environment and software, to record or derive the transition activities of all circuits of the DUT, then calculate the total or partial power consumption during the period of interest.
Abstract: The invention described here is the methods of using a hardware-based functional verification system to mimic a design under test (DUT), under intended application environment and software, to record or derive the transition activities of all circuits of the DUT, then calculate the total or partial power consumption during the period of interest. The period of interest is defined by the user in terms of “events” which are the arbitrary states of the DUT. Furthermore, the user can specify the number of sub-divisions required between events thus vary the apparent resolution of the power consumption profile.

Patent
15 Feb 2006
TL;DR: In this paper, the authors present a logic failure diagnosis system using a signature register system and a space compaction system, which combines a set of data values with recirculated data values.
Abstract: A logic failure diagnosis system for performing logic failure diagnosis and methods for manufacturing and using same. The logic failure diagnosis system includes a signature register system and a space compaction system and, during testing, receives data values from a predetermined number of scan chains. During each scan cycle, the signature register system combines a set of data values with a set of recirculated data values to provide a set of data signature values. The signature register system recirculates the data signature values from the preceding scan cycle to provide the recirculated data values. The space compaction system compresses the data signature values to provide a compressed scan chain signature for the scan chains. The compressed scan chain signature can be compared with a set of expected values to determine whether the scan chains include any erroneous values and, if so, to identify a source of the erroneous values.

Patent
25 Oct 2006
TL;DR: In this article, the equivalence checking of a low power design is performed using register-transfer level (RTL) netlist representation of a circuit, and a power specification file for describing power requirements.
Abstract: Method and system for equivalence checking of a low power design are disclosed. The method includes receiving a register-transfer level (RTL) netlist representation of a circuit, receiving a power specification file for describing power requirements of the circuit, creating a low power gate netlist for representing a design implementation of the circuit using the RTL netlist and the power specification file, creating a reference low power RTL netlist for representing a design specification of the circuit using the RTL netlist and the power specification file, and performing equivalence checking between the low power gate netlist and the reference low power RTL netlist. The method further includes annotating low power information described in the power specification file into the reference low power RTL netlist, and creating low power logic in the reference low power RTL netlist.

Proceedings ArticleDOI
06 Mar 2006
TL;DR: A sensitivity pruning method is presented which significantly reduces the computational cost to consider path reconvergence correlation and an accurate and efficient model is developed to deal with the spatial correlation.
Abstract: State of the art statistical timing analysis (STA) tools often yield less accurate results when timing variables become correlated. Spatial correlation and correlation caused by path reconvergence are among those which are most difficult to deal with. Existing methods treating these correlations will either suffer from high computational complexity or significant errors. In this paper, we present a sensitivity pruning method which significantly reduces the computational cost to consider path reconvergence correlation. We also develop an accurate and efficient model to deal with the spatial correlation.

Proceedings ArticleDOI
24 Jan 2006
TL;DR: How OA improves interoperability among applications in an EDA flow is described and how OA benefits developers of both EDA tools and flows is detailed.
Abstract: The OpenAccess database provides a comprehensive open standard data model and robust implementation for IC design flows. This paper describes how it improves interoperability among applications in an EDA flow. It details how OA benefits developers of both EDA tools and flows. Finally, it outlines how OA is being used in the industry, at semiconductor design companies, EDA tool vendors, and universities.

Proceedings ArticleDOI
A. Uzzaman1, M. Tegethoff1, Bibo Li1, K. Mc Cauley1, S. Hamada, Y. Sato 
20 Nov 2006
TL;DR: In this article, the authors used a Statistical Delay Quality Model (SDQM) model to estimate the Statistical delay quality level (SDQL) of several chips and compared transition tests generated with and without actual circuit timing as a function of the actual timing of the tests for each fault.
Abstract: Assessing the effectiveness of transition fault testing by the test coverage is misleading and can result on lower product quality. In reality, the actual timing of the test for each fault determines if a delay defect of a given size is detected or not. Transition tests that use actual circuit timings to create tests with the tightest possible timing detect more defects and have higher test effectiveness for a given test coverage. This paper validates this assertion using a Statistical Delay Quality Model (SDQM) model to estimate the Statistical Delay Quality Level (SDQL) of several chips. The comparison includes transition tests generated with and without actual circuit timing as a function of the actual timing of the tests for each fault.

Patent
05 Jun 2006
TL;DR: In this article, a circuit design synthesis method is provided comprising of associating a first cell library with a first block of a circuit, associating the second block of the circuit with a second cell library, and specifying at least one constraint upon the overall circuit design.
Abstract: A circuit design synthesis method is provided comprising: associating a first cell library with a first block of a circuit design; associating a second cell library with a second block of the circuit design; specifying at least one constraint upon the overall circuit design; mapping a portion of the first block to a cell in the first cell library based upon the at least one constraint in view of a step of mapping a portion of the second block to a cell in the second cell library; and mapping a portion of the second block to a cell in the second cell library based upon the at least one constraint in view of the step of mapping a portion of the first block to a cell in the first cell library.

Patent
24 Oct 2006
TL;DR: In this article, a DFM system that incorporates manufacturing variations in the analysis of integrated circuits by calculating predicted manufacturing variations on the shapes of interconnects and devices of the drawn layout of a circuit design is provided.
Abstract: DFM systems are provided that incorporate manufacturing variations in the analysis of integrated circuits by calculating predicted manufacturing variations on the shapes of interconnects and devices of the drawn layout of a circuit design. The shape variation on interconnects is converted to variations in resistor-capacitor (RC) parasitics. The shape variation on devices is converted to variations in device parameters. The variation in device parameters and wire parasitics is converted to changes in timing performance, signal integrity, and power consumption by determining the impact of device parameter and wire parasitic variations on the behavior of each instance of a standard cell. The results from these analyses are integrated back into the design flow as incremental delay files (timing), noise failures and buffer insertion/driver resizing commands (noise), and leakage power hotspots and cell substitution commands (power consumption).

Patent
02 Oct 2006
TL;DR: In this article, a method for synthesizing a photomask data set from a given target layout is presented, including the following steps: (a) providing a set of target polygons for the target layout; fitting a smooth curve to a target polygon of the set of targets, the curve having a setof etch-target points; and (b) moving the etch target points according to a model of an etch process to produce a set.
Abstract: A method for synthesizing a photomask data set from a given target layout, including the following steps: (a) providing a set of target polygons for the target layout; (b) fitting a smooth curve to a target polygon of the set of target polygons, the curve having a set of etch-target points; (c) moving the etch target points according to a model of an etch process to produce a set of lithography-target points; and (d) synthesizing a photomask data set based on a model of a lithography process and the set of lithography-target points.

Patent
17 Aug 2006
TL;DR: In this article, a method and system for maintaining synchronization between a plurality of layout clones of an integrated circuit design, wherein each layout clone comprises at least one figure, is presented.
Abstract: A method and system for maintaining synchronization between a plurality of layout clones of an integrated circuit design, wherein each layout clone comprises at least one figure. The method comprises tracking relationships between equivalent figures of the plurality of layout clones, wherein the plurality of layout clones are associated with one another within an equivalence group and propagating an edit made in one of the layout clones within an equivalence group to the other layout clones within the equivalence group.

Patent
01 Dec 2006
TL;DR: In this article, a method for particle beam lithography such as electron beam (EB) lithography was proposed, where a stencil design having a plurality of cell patterns with information from a cell library was proposed.
Abstract: A method for particle beam lithography, such as electron beam (EB) lithography, includes predefining a stencil design having a plurality of cell patterns with information from a cell library, fabricating the stencil design, synthesizing a functional description into a logic circuit design after predefining the stencil design so that one or more characteristics of the stencil design are considered during synthesizing of the functional description into the logic circuit design, optimizing the logic circuit design, generating a layout design from the optimized logic circuit design, and forming the logic circuit on a substrate according to the stencil design and the layout design.

Proceedings ArticleDOI
09 Apr 2006
TL;DR: A moment matching based quadratic function modeling method is developed to fit the first three moments of given measurement data in plain form which may not follow Gaussian distributions, which gives the solid guidelines for testing chip data collections.
Abstract: Most of the existing statistical static timing analysis (SSTA) algorithms assume that the process parameters of have been given with 100% confidence level or zero errors and are preferable Gaussian distributions. These assumptions are actually quite questionable and require careful attention.In this paper, we aim at providing solid statistical analysis methods to analyze the measurement data on testing chips and extract the statistical distribution, either Gaussian or non-Gaussian which could be used in advanced SSTA algorithms for confidence interval or error bound information.Two contributions are achieved by this paper. First, we develop a moment matching based quadratic function modeling method to fit the first three moments of given measurement data in plain form which may not follow Gaussian distributions. Second, we provide a systematic way to analyze the confident intervals on our modeling strategies. The confidence intervals analysis gives the solid guidelines for testing chip data collections. Extensive experimental results demonstrate the accuracy of our algorithm.

Patent
23 Feb 2006
TL;DR: In this paper, a method and system for improving the yield of an integrated circuit is described, which includes optimizing a design of the integrated circuit according to a set of predefined design parameters.
Abstract: Method and system for improving yield of an integrated circuit are disclosed. The method includes optimizing a design of the integrated circuit according to a set of predefined design parameters to generating design points that meet a set of predefined design specifications, analyzing the design points to form clusters comprising the design points, determining a representative design point from the clusters comprising the design points, running a statistical simulation to determine a yield of the design using the representative design point and a statistical model of manufacturing process variations, generating statistical corners in accordance with results of the statistical simulation, and optimizing the design in accordance with the statistical corners using an iterative process.

Patent
30 Oct 2006
TL;DR: In this article, a method and system for verifying power specifications of a low-power design is described, which includes receiving a register-transfer level (RTL) netlist representation of the low power design, receiving a power specification file for describing power requirements of the Low Power Design (LPDF) and verifying the power specification files in accordance with the RTL netlist representations of the LDF.
Abstract: Method and system for verifying power specifications of a low power design are disclosed. The method includes receiving a register-transfer level (RTL) netlist representation of the low power design, receiving a power specification file for describing power requirements of the low power design and verifying the power specification file in accordance with the RTL netlist representation of the low power design. The method further includes verifying completeness, compatibility, and consistency of power requirements for the low power design.