scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 2016"


Book
01 Mar 2016

346 citations


Journal ArticleDOI
TL;DR: In this article, an oxidation-reduction bonding (ORB) was applied to achieve Cu-Cu bonding with microscale Cu particle paste, leading to a well-sintered microstructure and a three times higher bonding strength than that of the bonding joints prepared with non-oxidation bonding.

104 citations


Journal ArticleDOI
TL;DR: In this paper, a new solid-liquid interdiffusion bonding process, with much higher bonding speed, is proposed in which a temperature gradient is superimposed across the joint in the new process, which possesses many advantages.

75 citations


Journal ArticleDOI
TL;DR: This work reports on the fabrication of both planar and patterned ultrathin c-Si solar cells on glass using low temperature, low-cost, and scalable techniques and reveals that the low photon escape probability of 25% is the key factor in the light trapping mechanism.
Abstract: Ultrathin c-Si solar cells have the potential to drastically reduce costs by saving raw material while maintaining good efficiencies thanks to the excellent quality of monocrystalline silicon. However, efficient light trapping strategies must be implemented to achieve high short-circuit currents. We report on the fabrication of both planar and patterned ultrathin c-Si solar cells on glass using low temperature (T < 275 °C), low-cost, and scalable techniques. Epitaxial c-Si layers are grown by PECVD at 160 °C and transferred on a glass substrate by anodic bonding and mechanical cleavage. A silver back mirror is combined with a front texturation based on an inverted nanopyramid array fabricated by nanoimprint lithography and wet etching. We demonstrate a short-circuit current density of 25.3 mA/cm2 for an equivalent thickness of only 2.75 μm. External quantum efficiency (EQE) measurements are in very good agreement with FDTD simulations. We infer an optical path enhancement of 10 in the long wavelength rang...

73 citations


Journal ArticleDOI
Abstract: A rapid and simple thermally-solvent assisted method of bonding was introduced for poly(methyl methacrylate) (PMMA) based microfluidic substrates. The technique is a low-temperature (), and rapid () bonding technique; in addition, only a fan-assisted oven with some paper clamps are used. Two different solvents (ethanol and isopropyl alcohol) with two different methods of cooling (one-step and three steps) were employed to determine the best solvent and method of cooling (residual stresses may be released in different cooling methods) by considering bonding strength and quality. In this bonding technique, a thin film of solvent between two PMMA sheets disperses tends to dissolve a thin film of PMMA sheet surface, then evaporate, and finally reconnect monomers of the PMMA sheets at the specific operating temperature. The operating temperature of this method comes from the coincidence of the solubility parameter graph of PMMA with the solubility parameter graph of the solvents. Different tests such as tensile strength test, deformation test, leakage tests, and surface characteristics tests were performed to find the optimum conditions for this bonding strategy. The best bonding quality and the highest bonding strength () occurred when 70% isopropyl alcohol solution was employed with the one-step cooling method. Furthermore, the bonding reversibility was taken into account and critical percentages for irreversible bonding were obtained for both of the solvents and methods. This method provides a perfect bonding quality for PMMA substrates, and can be used in laboratories without needing any expensive and special instruments, because of its merits such as lower bonding time, lower-cost, and higher strength etc in comparison with the majority of other common bonding techniques.

66 citations


Journal ArticleDOI
TL;DR: In this paper, the formation of a chemical bonding between TiO2, LCO, LZO, YSZ splats and ceramic substrates of the same material was investigated focusing on the effect of the deposition temperature.

59 citations


Journal ArticleDOI
31 Oct 2016-Sensors
TL;DR: The processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits, are described.
Abstract: The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology

49 citations


Proceedings ArticleDOI
01 May 2016
TL;DR: In this article, a hybrid wafer-to-wafer (W2W) bonding approach that uses Cu damascene patterned surface bonding, allowing to scale down the interconnection pitch below 5 µm, potentially even down to 1µm, depending on the achievable W2W bonding accuracy.
Abstract: High performance 3D integration Systems need a higher interconnect density between the die than traditional µbump interconnects can offer. For ultra-fine pitches interconnect pitches below 5µm a different solution is required. This paper describes a hybrid wafer-to-wafer (W2W) bonding approach that uses Cu damascene patterned surface bonding, allowing to scale down the interconnection pitch below 5 µm, potentially even down to 1µm, depending on the achievable W2W bonding accuracy. The bonding method is referred to as hybrid bonding since the bonding of the Cu/dielectric damascene surfaces leads simultaneously to metallic and dielectric bonding. In this paper, the integration flow for 300mm hybrid wafer bonding at 3.6µm and 1.8µm pitch will be described using a novel, alternative, non-oxide Cu/dielectric damascene process. Optimization of the surface preparation before bonding will be discussed. Of particular importance is the wafer chemical-mechanical-polishing (CMP) process and the pre-bonding wafer treatment. Using proper surface activation and very low roughness dielectrics, void-free room temperature bonding can be achieved. High bonding strengths are obtained, even using low temperature anneal (250°C). The process flow also integrates the use of a 5µm diameter, 50µm deep via-middle through-silicon-vias (TSV) to connect the wafer interfaces to the external wafer backside.

43 citations


Journal ArticleDOI
TL;DR: In this article, a detailed study on the application of Pd nanoparticle arrays, produced by self-assembled block copolymer templates, in bonding of III-V-based solar cell materials was carried out.
Abstract: A detailed study on the application of Pd nanoparticle arrays, produced by self-assembled block copolymer templates, in bonding of III–V-based solar cell materials was carried out. The Pd nanoparticle array-mediated bonding (mechanical stacking) of GaAs-based thin-films (cells) was readily performed on the surface of GaAs or InP-based substrates (cells) to form multi-junction device architectures. Using the optimized Pd NP array, a 30.4%-efficiency four-junction two-terminal cell, consisting of an InGaP/GaAs top cell and an InGaAsP/InGaAs bottom cell, was achieved owing to the excellent electrical and optical bonding properties (bonding resistance, 1.81 Ω cm2; optical loss, 2.9%). Together with the verification of the long-term reliability of the Pd nanoparticle array-mediated bonding, our approach would become practically attractive for producing high-efficiency multi-junction solar cells.

34 citations


Journal ArticleDOI
Ran He1, Masahisa Fujino1, Akira Yamauchi, Yinghui Wang1, Tadatomo Suga1 
TL;DR: In this paper, a combined surface activated bonding (SAB) technique for low-temperature Cu-Cu, SiO2-SiO2, and SiO 2-SiNx bonding is presented.
Abstract: Cu/dielectric hybrid bonding at low temperatures of no more than 200◦C remains challenging because of the different features of CuCu and dielectric-dielectric (such as SiO2-SiO2) bonding. This paper reports a combined surface activated bonding (SAB) technique for low-temperature Cu-Cu, SiO2-SiO2, and SiO2-SiNx bonding. This technique involves a combination of surface irradiation using a Si-containing Ar beam and prebonding attach-detach process prior to bonding in vacuum. Wafer bonding experiments were conducted at either room temperature or 200◦C. Results of bonding strength measurements, transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDS) observations, and X-ray photoelectron spectroscopy (XPS) analysis were reported and discussed to understand the present combined SAB technique. © 2016 The Electrochemical Society. [DOI: 10.1149/2.0201607jss] All rights reserved.

33 citations


Journal ArticleDOI
TL;DR: In this paper, the results of direct wafer bonding of SiC-SiC at room temperature by standard surface-activated bonding (SAB) and modified SAB with a Si-containing Ar ion beam were compared, in terms of bonding energy, interface structure and composition, and the effects of rapid thermal annealing (RTA) at 1273 K in Ar gas.
Abstract: In this study, the results of direct wafer bonding of SiC–SiC at room temperature by standard surface-activated bonding (SAB) and modified SAB with a Si-containing Ar ion beam were compared, in terms of bonding energy, interface structure and composition, and the effects of rapid thermal annealing (RTA) at 1273 K in Ar gas. Compared with that obtained by the standard SAB, the bonding interface obtained by the modified SAB with a Si-containing Ar ion beam is ~30% stronger and almost completely recrystallized without oxidation during RTA, which should be due to the in situ Si compensation during surface activation by the Si-containing Ar ion beam.

Journal ArticleDOI
TL;DR: In this paper, the porosity of the epi-PECVD/crystalline silicon interface can be tuned by controlling the hydrogen accumulation there, and the first PECVD epitaxial silicon solar cells transferred on glass are characterized.
Abstract: Fabrication of high-quality ultrathin monocrystalline silicon layers and their transfer to low-cost substrates are key steps for flexible electronics and photovoltaics. In this work, we demonstrate a low-temperature and low-cost process for ultrathin silicon solar cells. By using standard plasma-enhanced chemical vapor deposition (PECVD), we grow high-quality epitaxial silicon layers (epi-PECVD) from SiH4/H2 gas mixtures at 175 °C. Using secondary ion mass spectrometry and transmission electron microscopy, we show that the porosity of the epi-PECVD/crystalline silicon interface can be tuned by controlling the hydrogen accumulation there. Moreover, we demonstrate that 13–14% porosity is a threshold above which the interface becomes fragile and can easily be cleaved. Taking advantage of the H-rich interface fragility, we demonstrate the transfer of large areas (∽10 cm2) ultrathin epi-PECVD layers (0.5–5.5 µm) onto glass substrates by anodic bonding and moderate annealing (275–350 °C). The structural properties of transferred layers are assessed, and the first PECVD epitaxial silicon solar cells transferred on glass are characterized. Copyright © 2016 John Wiley & Sons, Ltd.

Journal ArticleDOI
TL;DR: Using only Si ultrathin films, a new bonding technique for SiO2/SiO2 bonding at room temperature was proposed in this article, where two SiO 2 surfaces on which Si thin films were deposited were contacted in vacuum.
Abstract: The bonding of metal electrodes and insulator hybrid interfaces is one of the key techniques in three-dimensional integration technology. Metal materials such as Cu or Al are easily directly bonded by surface activated bonding at room temperature, but insulator materials such as SiO2 or SiN are not. Using only Si ultrathin films, we propose a new bonding technique for SiO2/SiO2 bonding at room temperature. Two SiO2 surfaces, on which Si thin films were deposited, were contacted in vacuum. We confirmed that the thickness of the layer was about 7 nm by transmission electron microscopy observation and that the layer was non crystalline by electron energy loss spectroscopy analysis. No metal material was found in the bonding interface by energy-dispersive X-ray spectroscopy analysis. The surface energy was about 1 J/m2, and the bonding strength was more than 25 MPa. This bonding technique was successfully realized to enable SiO2/SiO2 bonding without a metal adhesion layer.

Journal ArticleDOI
TL;DR: In this paper, the fabrication process of wafer bonded capacitive micromachined ultrasonic transducers using photosensitive benzocyclobutene as a polymer adhesive is described.
Abstract: This paper reports the fabrication process of wafer bonded capacitive micromachined ultrasonic transducers (CMUTs) using photosensitive benzocyclobutene as a polymer adhesive. Compared with direct bonding and anodic bonding, polymer adhesive bonding provides good tolerance to wafer surface defects and contamination. In addition, the low process temperature of 250 °C is compatible with standard CMOS processes. Single-element CMUTs consisting of cells with a diameter of 46 µm and a cavity depth of 323 nm were fabricated. In-air and immersion acoustic characterizations were performed on the fabricated CMUTs, demonstrating their capability for transmitting and receiving ultrasound signals. An in-air resonance frequency of 5.47 MHz was measured by a vibrometer under a bias voltage of 300 V.

Journal ArticleDOI
TL;DR: In this article, a theoretical model for the formation of limited interlamellar bonding is proposed based on the concept of the intrinsic bonding temperature, which is an important factor controlling the mechanical, thermal and electrical properties of plasma sprayed ceramic coatings.
Abstract: Interlamellar bonding is an important factor controlling the mechanical, thermal and electrical properties of plasma sprayed ceramic coatings. In order to understand the formation of limited interlamellar bonding, a theoretical model is proposed based on the concept of the intrinsic bonding temperature. The numerical simulation of the interface temperature between a molten splat and underlying splats was performed for splats with uniform and non-uniform thickness, in order to reveal the conditions for the interlamellar bonding formation. The interlamellar bonding ratio was theoretically estimated based on the bonding forming conditions. The features of interlamellar bonding revealed by the simulation agree well with the experimental observations. The bonding ratio of plasma sprayed coatings is significantly influenced by the distribution of splat thickness. According to the distribution of Al2O3 splat thickness in the coating, the theoretical estimation of bonding ratio yielded a value of 0.41 for the plasma sprayed Al2O3 coating at the ambient atmosphere conditions, which is reasonably consistent with the observation value. Therefore, the limited interlamellar bonding can be reasonably explained based on the sufficient condition that the maximum interface temperature between a molten splat and underlying splats is larger than the intrinsic bonding temperature.

Journal ArticleDOI
TL;DR: This bonding method demonstrated high potential for the stable construction of closed microfluidic systems socketed with biomolecule-immobilized surfaces such as DNA, antibody, enzyme, peptide, and protein microarrays.
Abstract: Here, we introduce a simple and fast method for bonding a poly(dimethylsiloxane) (PDMS) silicone elastomer to different plastics. In this technique, surface modification and subsequent bonding processes are performed at room temperature. Furthermore, only one chemical is needed, and no surface oxidation step is necessary prior to bonding. This bonding method is particularly suitable for encapsulating biomolecules that are sensitive to external stimuli, such as heat or plasma treatment, and for embedding fracturable materials prior to the bonding step. Microchannel-fabricated PDMS was first oxidized by plasma treatment and reacted with aminosilane by forming strong siloxane bonds (Si–O–Si) at room temperature. Without the surface oxidation of the amine-terminated PDMS and plastic, the two heterogeneous substrates were brought into intimate physical contact and left at room temperature. Subsequently, aminolysis occurred, leading to the generation of a permanent seal via the formation of robust urethane bonds after only 5 min of assembling. Using this method, large-area (10 × 10 cm) bonding was successfully realized. The surface was characterized by contact angle measurements and X-ray photoelectron spectroscopy (XPS) analyses, and the bonding strength was analyzed by performing peel, delamination, leak, and burst tests. The bond strength of the PDMS–polycarbonate (PC) assembly was approximately 409 ± 6.6 kPa, and the assembly withstood the injection of a tremendous amount of liquid with the per-minute injection volume exceeding 2000 times its total internal volume. The thermal stability of the bonded microdevice was confirmed by performing a chamber-type multiplex polymerase chain reaction (PCR) of two major foodborne pathogens – Escherichia coli O157:H7 and Salmonella typhimurium – and assessing the possibility for on-site direct detection of PCR amplicons. This bonding method demonstrated high potential for the stable construction of closed microfluidic systems socketed with biomolecule-immobilized surfaces such as DNA, antibody, enzyme, peptide, and protein microarrays.

Journal ArticleDOI
TL;DR: In this article, the authors conducted direct solid-state diffusion bonding of zirconium carbide (ZrC)-sintered materials with different average grain sizes of 3.5, 7.5 and 35μm using a spark plasma sintering system.

Journal ArticleDOI
TL;DR: By combining substrate-free structures with anodic bonding technology, a simple and efficient micro-electro-mechanical system (MEMS) thermal shear stress sensor was presented in this article.
Abstract: By combining substrate-free structures with anodic bonding technology, we present a simple and efficient micro-electro-mechanical system (MEMS) thermal shear stress sensor. Significantly, the resulting depth of the vacuum cavity of the sensor is determined by the thickness of the silicon substrate at which Si is removed by the anisotropic wet etching process. Compared with the sensor based on a sacrificial layer technique, the proposed MEMS thermal shear-stress sensor exhibits dramatically improved sensitivity due to the much larger vacuum cavity depth. The fabricated MEMS thermal shear-stress sensor with a vacuum cavity depth as large as 525 μm and a vacuum of 5 × 10−2 Pa exhibits a sensitivity of 184.5 mV/Pa and a response time of 180 μs. We also experimentally demonstrate that the sensor power is indeed proportional to the 1/3-power of the applied shear stress. The substrate-free structures offer the ability to precisely measure the shear stress fluctuations in low speed turbulent boundary layer wind tunnels.

Proceedings ArticleDOI
01 May 2016
TL;DR: In this paper, a test vehicle with dia3um and pitch 6um TSV 20um thin wafer is designed and fabricated for ultra-fine pitch and high density Cu pillar low temperature bonding.
Abstract: For ultra-fine pitch and high density Cu pillar low temperature bonding (200°C), the surface contact between substrate and Cu pillar array is the key. Therefore, the fabrication quality of copper bump array affects severely the bonding results. The qualitative factors include (1) Cu pillar array height uniformity, (2) free of copper oxide layer, (3) Cu material property (e.g. elastic modulus, grain size), (4) certain levels of roughness and (5) even the Cu pillar with or without TSV in the wafer. In this paper, test vehicle with dia3um and pitch 6um TSV 20um thin wafer are design and fabricated. The test vehicle is used to study above major bonding contributors. Solid Cu-Cu interconnects are demonstrated with both Chip to chip (C2C) and Chip to wafer (C2W) process. The developed process is promising for high density I/O (

Proceedings ArticleDOI
01 May 2016
TL;DR: In this paper, a laser release process for ultralow-force debonding is proposed to further answer the refraining elements preventing a more massive technology adoption, to reach even lower stress and wafer breakage risks.
Abstract: Over the past few years, temporary bonding has spread together with the development of 3D stacked IC (SIC) technology. Maturity of the various processes has constantly improved. Early processes enabled first demonstration of circuit thinning and thin wafer debonding. Each material generation has brought a step function in the technology maturity, which is now reaching a level allowing first 3D-SIC production. To further answer the refraining elements preventing a more massive technology adoption, novel temporary bonding materials and processes are being developed to reach even lower stress and wafer breakage risks. Hence this contribution deals with the early demonstration of a novel laser release process for ultralow-force debonding.

Journal ArticleDOI
TL;DR: In this paper, a miniaturized anodic bonding device was developed and coupled with a Raman spectrometer, and the evolution of the stress at the bonding interface was measured in situ by the Raman Spectrometer.

Journal ArticleDOI
TL;DR: In this article, the room-temperature wafer bonding of SiC-Si by only one sputtered Si nanolayer was successfully achieved, and a uniform intermediate layer with a thickness of 15 nm was found at the interface.
Abstract: A modified surface activated bonding (SAB) with Fe–Si multi-nanolayers is expected to achieve the wafer bonding of SiC to various materials. However, Fe diffusion, which affects device performance, cannot be avoided during some annealing processes. In this work, the room-temperature wafer bonding of SiC–Si by only one sputtered Si nanolayer was successfully achieved. The bonding interface was investigated. A uniform intermediate layer with a thickness of ~15 nm just containing Si, C, and Ar was found at the interface. The bonding strength between the SiC surface and the sputtered Si nanolayer could reach the bulk Si strength in accordance with the results of the strength test. This indicates that the wafer bonding of SiC to any other materials can be achieved easily if the material could be also strongly bonded to the sputtered Si nanolayer. In addition, the thermal and chemical reliabilities of the SiC–Si bonding interface were investigated by rapid thermal annealing and KOH etching, respectively.

Journal ArticleDOI
TL;DR: In this paper, a modified polymer adhesive characterized by "one-time connection at low-temperature without post-treatment" was developed for joining mullite ceramic, and the bonding strength of cured adhesive maintained above 6.5MPa during the whole heating process and even reached 24.8MPa at 1300°C.

Journal ArticleDOI
Cheng Zheng1, Li Wei1, Anlin Li1, Zhan Zhan1, Lingyun Wang1, Daoheng Sun1 
TL;DR: The design and manufacturing of a passive pressure sensor that contains a variable capacitor and a copper-electroplated planar inductor and the finite element method is used to model the deflection of the silicon diaphragm and extract the capacitance change corresponding to the applied pressure.
Abstract: The LC resonator-based passive pressure sensor attracts much attention because it does not need a power source or lead wires between the sensing element and the readout system. This paper presents the design and manufacturing of a passive pressure sensor that contains a variable capacitor and a copper-electroplated planar inductor. The sensor is fabricated using silicon bulk micro-machining, electroplating, and anodic bonding technology. The finite element method is used to model the deflection of the silicon diaphragm and extract the capacitance change corresponding to the applied pressure. Within the measurement range from 5 to 100 kPa, the sensitivity of the sensor is 0.052 MHz/kPa, the linearity is 2.79%, and the hysteresis error is 0.2%. Compared with the sensitivity at 27 °C, the drop of output performance is 3.53% at 140 °C.

Journal ArticleDOI
TL;DR: In this paper, sample transfer characteristics of two different microfluidic interfaces for two-dimensional capillary electrophoresis were evaluated using a CE separation of amino acids (arginine and lysine) under different bulk flow conditions, with and without applying bias potential to the secondary channels.
Abstract: Here we present the study on the sample transfer characteristics of two different microfluidic interfaces for two–dimensional capillary electrophoresis. These interfaces were manufactured using two different microfabrication technologies: one was obtained via the classical photolithography - wet etching - anodic bonding process; and the other was obtained via the selective laser-induced etching process. The comparison of the two interfaces, and an intact capillary as a reference, was made via the CE separation of amino acids (arginine and lysine) under different bulk flow conditions, with and without applying bias potential to the secondary channels. The influence on peak shapes, migration times and repeatabiliy were evaluated.

Journal ArticleDOI
TL;DR: In this paper, the elastic strain in the crystal wafer is partially released by a "strip-bent" method where the crystal was cut in strips prior to the bending and the anodic bonding process.
Abstract: We present the development, manufacturing and performance of spherically bent crystal analyzers (SBCAs) of 100 mm diameter and 0.5 m bending radius. The elastic strain in the crystal wafer is partially released by a "strip-bent" method where the crystal wafer is cut in strips prior to the bending and the anodic bonding process. Compared to standard 1 m SBCAs, a gain in intensity is obtained without loss of energy resolution. The gain ranges between 2.5 and 4.5, depending on the experimental conditions and the width of the emission line measured. This reduces the acquisition times required to perform high energy-resolution x-ray absorption and emission spectroscopy on ultra-dilute species, accessing concentrations of the element of interest down to, or below, the ppm (ng/mg) level.

Journal ArticleDOI
TL;DR: In this article, a differential capacitive silicon micro-accelerometer with symmetrical double-sided serpentine beam-mass sensing structure and glass-silicon-glass sandwich structure is presented.
Abstract: This paper presents a novel differential capacitive silicon micro-accelerometer with symmetrical double-sided serpentine beam-mass sensing structure and glass–silicon–glass sandwich structure. The symmetrical double-sided serpentine beam-mass sensing structure is fabricated with a novel pre-buried mask fabrication technology, which is convenient for manufacturing multi-layer sensors. The glass–silicon–glass sandwich structure is realized by a double anodic bonding process. To solve the problem of the difficulty of leading out signals from the top and bottom layer simultaneously in the sandwich sensors, a silicon pillar structure is designed that is inherently simple and low-cost. The prototype is fabricated and tested. It has low noise performance (the peak to peak value is 40 μg) and μg-level Allan deviation of bias (2.2 μg in 1 h), experimentally demonstrating the effectiveness of the design and the novel fabrication technology.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the direct wafer bonding (DWB) method with a thin bonding dielectric interface to fabricate Si high-k MOS optical modulators with thin equivalent oxide thickness (EOT).
Abstract: We have investigated the direct wafer bonding (DWB) method with a thin bonding dielectric interface to fabricate Si high-k MOS optical modulators with a thin equivalent oxide thickness (EOT). To suppress void generation on the bonded wafer during high-temperature annealing, we examined the high-k dielectric bonding interfacial layers, such as Al2O3 and HfO2. We found that the Al2O3/HfO2 bilayer enables void-less wafer bonding in conjunction with pre-bonding annealing at 700 °C. By using the 0.5-nm Al2O3/2.0-nm HfO2 bonding interface, the density of voids is reduced by three orders of magnitude as compared with that in the case of using the Al2O3 bonding interface. We achieved a density of voids of approximately 2 × 10−3 cm−2 even when the bonded wafer is annealed at 700 °C. By thermal desorption spectroscopy (TDS), we found that degassing from the bonding interface is successfully suppressed by the introduction of the HfO2 layer and the pre-bonding annealing at 700 °C, which are considered to suppress void generation. Wafer bonding with thin Al2O3/HfO2 high-k bonding interface is promising for Si high-k MOS optical modulators.

Journal ArticleDOI
TL;DR: In this paper, anodic bonding-based wafer-level packaging technique was proposed to simultaneously seal an accelerometer in the atmosphere and a gyroscope in a vacuum with a glass cap for micro-electromechanical systems sensors.
Abstract: This research demonstrates a newly developed anodic bonding-based wafer-level-packaging technique to simultaneously seal an accelerometer in the atmosphere and a gyroscope in a vacuum with a glass cap for micro-electromechanical systems sensors. It is necessary for the accelerometer, with a damping oscillator, to be sealed in the atmosphere to achieve a high-speed response. As the gyroscope can achieve high sensitivity with a large displacement at the resonant frequency without air-damping, the gyroscope must be sealed in a vacuum. The technique consists of three processing steps: the first bonding step in the atmosphere for the accelerometer, the pressure control step and the second bonding step in a vacuum for the gyroscope. The process conditions were experimentally determined to achieve higher shear strength at the interface of the packaging. The packaging performance of the accelerometer and gyroscope after wafer-level packaging was also investigated using a laser Doppler velocimeter at room temperature. The amplitude at the resonant frequency of the accelerometer was reduced by air damping, and the quality factor of the gyroscope showed a value higher than 1000. The reliability of the gyroscope was also confirmed by a thermal cyclic test and an endurance test at high humidity and high temperature.

Proceedings ArticleDOI
01 Sep 2016
TL;DR: In this article, a 3D finite element model for the thermal annealing of Cu/SiO 2 hybrid bonded pads is presented, taking into account the dishing effect.
Abstract: Among the numerous ways to address 3D stacking of integrated circuits, a promising method is Cu/SiO 2 hybrid bonding, which is the simultaneous metallic bonding of the interconnection pads and direct bonding of the dielectric surfaces. Prior to bonding, a chemical-mechanical polishing step is necessary, resulting in copper pads being slightly overpolished compared to the surrounding oxide regions (dishing effect). This effect, if too important, can prevent bonding and thereby lead to electrical connection failure between top and bottom parts. In order to better understand the involved phenomena and to perform virtual prototyping, a 3D finite element model for the thermal annealing of Cu/SiO 2 hybrid bonded pads is presented, taking into account the dishing effect. In this work, the contributions to bonding of thermoelastic deformation and cohesive interactions are investigated, and the impact of pad shape on Cu-Cu interface closure during thermal annealing studied. In addition, a parametric study is conducted, in order to identify the most efficient design and process parameters to improve bonding quality.