scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2014"


Journal ArticleDOI
TL;DR: In this paper, a 3D nanostar-dimers, decoupled from the substrate, have been fabricated by combining electron-beam lithography and reactive-ion etching techniques.
Abstract: Plasmonic nanostar-dimers, decoupled from the substrate, have been fabricated by combining electron-beam lithography and reactive-ion etching techniques. The 3D architecture, the sharp tips of the nanostars and the sub-10 nm gap size promote the formation of giant electric-field in highly localized hot-spots. The single/few molecule detection capability of the 3D nanostar-dimers has been demonstrated by Surface-Enhanced Raman Scattering.

263 citations


Journal ArticleDOI
TL;DR: This work presents the use of silk as a natural and biofunctional resist for electron-beam lithography, and fabricates nanoscale photonic lattices using both neat silk and silk doped with quantum dots, green fluorescent proteins (GFPs) or horseradish peroxidase (HRP) as a proof of principle of the viability.
Abstract: Traditional nanofabrication techniques often require complex lithographic steps and the use of toxic chemicals. To move from the laboratory scale to large scales, nanofabrication should be carried out using alternative procedures that are simple, inexpensive and use non-toxic solvents. Recent efforts have focused on nanoimprinting and the use of organic resists (such as quantum dot-polymer hybrids, DNA and poly(ethylene glycol)), which still require, for the most part, noxious chemicals for processing. Significant advances have been achieved using 'green' resists that can be developed with water, but so far these approaches have suffered from low electron sensitivity, line edge roughness and scalability constraints. Here, we present the use of silk as a natural and biofunctional resist for electron-beam lithography. The process is entirely water-based, starting with the silk aqueous solution and ending with simple development of the exposed silk film in water. Because of its polymorphic crystalline structure, silk can be used either as a positive or negative resist through interactions with an electron beam. Moreover, silk can be easily modified, thereby enabling a variety of 'functional resists', including biologically active versions. As a proof of principle of the viability of all-water-based silk electron-beam lithography (EBL), we fabricate nanoscale photonic lattices using both neat silk and silk doped with quantum dots, green fluorescent proteins (GFPs) or horseradish peroxidase (HRP).

236 citations


Journal ArticleDOI
TL;DR: MOVPE-grown (metal-organic vapor-phase epitaxy) InGaN/GaN p-n junction core-shell nanowires have been used for device fabrication to achieve a good spectral matching between the emission wavelength and the detection range.
Abstract: We report the fabrication of a photonic platform consisting of single wire light-emitting diodes (LED) and photodetectors optically coupled by waveguides. MOVPE-grown (metal–organic vapor-phase epitaxy) InGaN/GaN p–n junction core–shell nanowires have been used for device fabrication. To achieve a good spectral matching between the emission wavelength and the detection range, different active regions containing either five narrow InGaN/GaN quantum wells or one wide InGaN segment were employed for the LED and the detector, respectively. The communication wavelength is ∼400 nm. The devices are realized by means of electron beam lithography on Si/SiO2 templates and connected by ∼100 μm long nonrectilinear SiN waveguides. The photodetector current trace shows signal variation correlated with the LED on/off switching with a fast transition time below 0.5 s.

170 citations


Journal ArticleDOI
TL;DR: Using third harmonic (TH) spectroscopy, a substantial enhancement of the nonlinear emission intensity of single HIL-antennas is found compared to those produced by state-of-the-art gallium-based milling, which shows a vastly improved polarization contrast.
Abstract: Plasmonic nanoantennas are versatile tools for coherently controlling and directing light on the nanoscale. For these antennas, current fabrication techniques such as electron beam lithography (EBL) or focused ion beam (FIB) milling with Ga(+)-ions routinely achieve feature sizes in the 10 nm range. However, they suffer increasingly from inherent limitations when a precision of single nanometers down to atomic length scales is required, where exciting quantum mechanical effects are expected to affect the nanoantenna optics. Here, we demonstrate that a combined approach of Ga(+)-FIB and milling-based He(+)-ion lithography (HIL) for the fabrication of nanoantennas offers to readily overcome some of these limitations. Gold bowtie antennas with 6 nm gap size were fabricated with single-nanometer accuracy and high reproducibility. Using third harmonic (TH) spectroscopy, we find a substantial enhancement of the nonlinear emission intensity of single HIL-antennas compared to those produced by state-of-the-art gallium-based milling. Moreover, HIL-antennas show a vastly improved polarization contrast. This superior nonlinear performance of HIL-derived plasmonic structures is an excellent testimonial to the application of He(+)-ion beam milling for ultrahigh precision nanofabrication, which in turn can be viewed as a stepping stone to mastering quantum optical investigations in the near-field.

160 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigate the far-field and near-field properties of aluminum nanorods fabricated by electron beam lithography and exhibiting plasmonic resonance in the near-infrared region.
Abstract: We investigate the far-field and near-field properties of aluminum nanorods fabricated by electron beam lithography and exhibiting plasmonic resonance in the near-infrared region. First, we show that plasmonic modes within nanorod arrays can be tuned by geometrical parameters, allowing one to control the system transparency. Next, the light absorption in this structure is closely examined, and we demonstrate that aluminum has great potential due to its unique interband transition at 800 nm. The roles of the dielectric confinement and the coupling between plasmonic resonance and the interband transition are particularly emphasized, as their adjustment can be used to switch from highly scattering particles to absorbing particles without a significant modification of the plasmonic resonance position. Finally, we image the plasmon-generated local field distribution in the aluminum nanostructures and observe, for the first time, the effect of the interband transition on the near-field behavior. The effect of t...

95 citations


Journal ArticleDOI
06 Nov 2014-ACS Nano
TL;DR: The capabilities of a dewetting-based process, independent of the sample size, to fabricate Si-based resonators over large scales starting from commercial silicon-on-insulator (SOI) substrates are reported.
Abstract: Subwavelength-sized dielectric Mie resonators have recently emerged as a promising photonic platform, as they combine the advantages of dielectric microstructures and metallic nanoparticles supporting surface plasmon polaritons. Here, we report the capabilities of a dewetting-based process, independent of the sample size, to fabricate Si-based resonators over large scales starting from commercial silicon-on-insulator (SOI) substrates. Spontaneous dewetting is shown to allow the production of monocrystalline Mie-resonators that feature two resonant modes in the visible spectrum, as observed in confocal scattering spectroscopy. Homogeneous scattering responses and improved spatial ordering of the Si-based resonators are observed when dewetting is assisted by electron beam lithography. Finally, exploiting different thermal agglomeration regimes, we highlight the versatility of this technique, which, when assisted by focused ion beam nanopatterning, produces monocrystalline nanocrystals with ad hoc size, posi...

94 citations


Journal ArticleDOI
TL;DR: In this paper, an electron beam model based on a set of semi-empirical equations available from different published literature and on theoretical considerations is proposed to analyze the attenuation of the electron beam as it passes through the sample.
Abstract: Computational modelling of processes that involve highly energetic electrons like electron beam melting, welding, drilling or electron beam lithography, to name but a few, requires information about the attenuation of the electron beam as it passes through the sample. Depth–dose curves as a function of electron energy, target material as well as local surface obliquity have to be provided in situ during the calculation. The most efficient way to address this issue is by employing mathematical expressions. Therefore, we propose an electron beam model based on a set of semi-empirical equations available from different published literature and on theoretical considerations. Particular stress is thereby put on accuracy and the range of validity of the theoretical approach by comparison with experimental data. Finally, we apply our model to powder-bed based additive manufacturing. The numerical results demonstrate that electron beam absorption and depth of penetration have a strong influence on the quality of the fabricated product.

91 citations


Journal ArticleDOI
TL;DR: In this paper, a high-resolution spectrometer-on-chip based on digital planar holograms is presented, which can encode any optical transfer function with high customizability and is compatible with semiconductor lithography techniques and nano-imprint lithography.
Abstract: Computer-generated planar holograms are a powerful approach for designing planar lightwave circuits with unique properties. Digital planar holograms in particular can encode any optical transfer function with high customizability and is compatible with semiconductor lithography techniques and nanoimprint lithography. Here, we demonstrate that the integration of multiple holograms on a single device increases the overall spectral range of the spectrometer and offsets any performance decrement resulting from miniaturization. The validation of a high-resolution spectrometer-on-chip based on digital planar holograms shows performance comparable with that of a macrospectrometer. While maintaining the total device footprint below 2 cm2, the newly developed spectrometer achieved a spectral resolution of 0.15 nm in the red and near infrared range, over a 148 nm spectral range and 926 channels. This approach lays the groundwork for future on-chip spectroscopy and lab-on-chip sensing. An on-chip spectrometer based on digital planar holograms offers a miniature alternative to conventional devices. Developed by three research team in California, USA, the spectrometer uses two computer-designed holograms as high-resolution gratings for separating different wavelengths of light in the spectral bands of 630–694 nm and 766–850 nm. The holograms are made by electron beam lithography and reactive ion etching of a Si/SiO2/Si3N4 substrate. The result is a semiconductor spectrometer chip that occupies a footprint of less than 2 cm2 and boasts a resolution of 0.15 nm and a bandwidth of 148 nm across the red and near-infrared regions. The researchers say that the device’s performance is comparable to much larger conventional instruments and will be a useful component for ‘lab-on-a-chip’ applications such as sensing. They also believe that it should be possible to make even smaller versions with higher performance in the future.

73 citations


Journal ArticleDOI
Bin Ai1, Ye Yu1, Helmuth Möhwald2, Gang Zhang1, Bai Yang1 
TL;DR: The introduction of colloidal lithography provides not only efficient fabrication processes but also plasmonic films with unique nanostructures, which are difficult to be fabricated by conventional lithography techniques.

71 citations


Journal ArticleDOI
TL;DR: The measurement of delocalized energy transfer in EBL exposure is shown by using chromatic aberration-corrected energy-filtered transmission electron microscopy (EFTEM) at the sub-10 nm scale and it is expected that these results will enable alternative ways to improve the resolution limit of EBL.
Abstract: One challenge existing since the invention of electron-beam lithography (EBL) is understanding the exposure mechanisms that limit the resolution of EBL. To overcome this challenge, we need to understand the spatial distribution of energy density deposited in the resist, that is, the point-spread function (PSF). During EBL exposure, the processes of electron scattering, phonon, photon, plasmon, and electron emission in the resist are combined, which complicates the analysis of the EBL PSF. Here, we show the measurement of delocalized energy transfer in EBL exposure by using chromatic aberration-corrected energy-filtered transmission electron microscopy (EFTEM) at the sub-10 nm scale. We have defined the role of spot size, electron scattering, secondary electrons, and volume plasmons in the lithographic PSF by performing EFTEM, momentum-resolved electron energy loss spectroscopy (EELS), sub-10 nm EBL, and Monte Carlo simulations. We expect that these results will enable alternative ways to improve the resol...

66 citations


Journal ArticleDOI
TL;DR: In this article, a low-loss amorphous titanium dioxide (TiO2) strip waveguides with sub-wavelength dimensions were fabricated by the combination of atomic layer deposition (ALD), electron beam lithography (EBL), and reactive ion etching (RIE).
Abstract: We introduce low-loss amorphous titanium dioxide (TiO2) strip waveguides with sub-wavelength dimensions. The waveguides were fabricated by the combination of atomic layer deposition (ALD), electron beam lithography (EBL), and reactive ion etching (RIE). Propagation losses of the strip waveguides were found to be as low as 5.0 dB/cm at 1.55 μm wavelength. Those propagation losses are mostly due to the sidewall roughness of the waveguides that is caused by the lithography process. The propagation losses were further reduced by deposition, on the fabricated strip waveguides, of an additional layer of TiO2 made by using ALD. A supplementary layer of TiO2 with a thickness of 30 nm reduced the measured propagation losses from 5.0 ± 0.5 dB/cm to 2.4 ± 0.2 dB/cm at 1.55 μm wavelength. It is due to the fact that, after the redeposition process, the initial waveguide sidewall, i.e., the TiO 2/air interface, is virtually removed and the new sidewall has a reduced roughness.

Journal ArticleDOI
TL;DR: This paper demonstrates multilayer fabrication of plasmonic gold nanorod arrays using electron-beam lithography (EBL), and shows that this structure could be used for multilayered optical storage media capable of continuous-wave (cw) laser readout.
Abstract: In this paper we demonstrate multilayer fabrication of plasmonic gold nanorod arrays using electron-beam lithography (EBL), and show that this structure could be used for multilayered optical storage media capable of continuous-wave (cw) laser readout. The gold nanorods fabricated using the EBL method are aligned perfectly and homogeneous in size and shape, allowing the polarization response of surface plasmon resonance (SPR) to be observed through ensemble array. This property in turn permits polarization detuned SPR readout possible and other manipulations such as progressively twisted arrays through the multilayers to make cw readout possible through deeper layers without too much extinction loss. The layered gold nanorod arrays are separated by thick spacer layer to enable the optical resolving of individual layers. Using this method, we demonstrated four-fold reduction in extinction loss for cw readout in three-layer structure. The current technique of multilayer fabrication and readout can be useful in 3-dimensional fabrication of plasmonic circuits and structures.

Journal ArticleDOI
TL;DR: It is concluded that TASTE not only offers an enormous degree of freedom for future process variations, but also will advance the patterning capabilities of current standard 3D micro- and nanofabrication methods.
Abstract: Micro- and nanostructures with three-dimensional (3D) shapes are needed for a variety of applications in optics and fluidics where structures with both smooth and sharp features enhance the performance and functionality. We present a novel method for the generation of true 3D surfaces based on thermally activated selective topography equilibration (TASTE). This technique allows generating almost arbitrary sloped, convex and concave profiles in the same polymer film with dimensions in micro- and nanometer scale. We describe its principal mechanism exemplified by pre-patterned poly (methyl methacrylate) resist which is exposed to high energy electrons prior to a thermal annealing step enabling the selective transformation of stepped contours into smooth surfaces. From this we conclude, that TASTE not only offers an enormous degree of freedom for future process variations, but also will advance the patterning capabilities of current standard 3D micro- and nanofabrication methods.

Journal ArticleDOI
TL;DR: The fabrication of Au nanostar arrays by means of electron beam lithography, in which the plasmon resonance energy can be tuned via the nanostars size from the visible into the near-infrared region, is reported.
Abstract: We report the fabrication of Au nanostar arrays by means of electron beam lithography, in which the plasmon resonance energy can be tuned via the nanostar size from the visible into the near-infrared region. The spectral response of the nanostar arrays was investigated by optical extinction (transmittance) experiments, and their surface enhanced Raman scattering performance has been tested at two different excitation wavelengths, 633 nm and 830 nm, using chemisorbed Cresyl violet molecules as analyte. The experimental results are supported by numerical simulations of the spatial and spectral electric field enhancement.

Journal ArticleDOI
TL;DR: A novel nanofabrication technique based on 4-beam interference lithography is presented that enables the preparation of large macroscopic areas of perfectly periodic and defect-free two-dimensional plasmonic arrays of nanoparticles as small as 100 nm.
Abstract: A novel nanofabrication technique based on 4-beam interference lithography is presented that enables the preparation of large macroscopic areas (>50 mm2) of perfectly periodic and defect-free two-dimensional plasmonic arrays of nanoparticles as small as 100 nm. The technique is based on a special interferometer, composed of two mirrors and a sample with photoresist that together form a right-angled corner reflector. In such an interferometer, the incoming expanded laser beam is split into four interfering beams that yield an interference pattern with rectangular symmetry. The interferometer allows setting the periods of the array from about 220 nm to 1500 nm in both directions independently through the rotation of the corner-reflector assembly around horizontal and vertical axes perpendicular to the direction of the incident beam. Using a theoretical model, the implementation of the four-beam interference lithography is discussed in terms of the optimum contrast as well as attainable periods of the array. Several examples of plasmonic arrays (on either glass or polymer substrate layers) fabricated by this technique are presented.

Journal ArticleDOI
02 Apr 2014-ACS Nano
TL;DR: It is demonstrated that the popular negative electron beam resist polystyrene can be coated by thermal evaporation and a high resolution of 30 nm half-pitch was achieved using the evaporated resist.
Abstract: An electron beam resist is typically applied by spin-coating, which cannot be reliably applied on nonplanar, irregular, or fragile substrates. Here we demonstrate that the popular negative electron beam resist polystyrene can be coated by thermal evaporation. A high resolution of 30 nm half-pitch was achieved using the evaporated resist. As a proof of concept of patterning on irregular surfaces, we fabricated nanostructures on the AFM cantilever and the optical fiber. Although an ice (H2O) resist has also been recently demonstrated as being capable of nanopatterning on irregular and fragile substrates, it requires specially designed accessories mounted inside a SEM chamber, whereas our process works with any thermal evaporator and is thus simpler and much more accessible. Nanofabrication on nonplanar surfaces may find applications in fields such as (AFM) tip-enhanced Raman spectroscopy for chemical analysis and lab-on-fiber technology.

Journal ArticleDOI
TL;DR: In this article, a millimeter sized array of both the planar chiral and the true 3D chiral starfish is realized, and their chiroptical performances are compared by circular dichroism measurements.
Abstract: We present an approach for extremely fast, wafer-scale fabrication of chiral starfish metamaterials based on electron beam- and on-edge lithography. A millimeter sized array of both the planar chiral and the true 3D chiral starfish is realized, and their chiroptical performances are compared by circular dichroism measurements. We find optical activity in the visible and near-infrared spectral range, where the 3D starfish clearly outperforms the planar design by almost 2 orders of magnitude, though fabrication efforts are only moderately increased. The presented approach is capable of bridging the gap between high performance optical chiral metamaterials and industrial production by nanoimprint technology.

Journal ArticleDOI
TL;DR: This work provides a way to fabricate complicated grayscale patterns using laser-induced bump structures onto chalcogenide phase-change thin films, different from current techniques such as photolithography, electron beam lithography, and focused ionbeam lithography.
Abstract: Chalcogenide phase-change thin films are used in many fields, such as optical information storage and solid-state memory. In this work, we present another application of chalcogenide phase-change thin films, i.e., as grayscale photolithgraphy materials. The grayscale patterns can be directly inscribed on the chalcogenide phase-change thin films by a single process through direct laser writing method. In grayscale photolithography, the laser pulse can induce the formation of bump structure, and the bump height and size can be precisely controlled by changing laser energy. Bumps with different height and size present different optical reflection and transmission spectra, leading to the different gray levels. For example, the continuous-tone grayscale images of lifelike bird and cat are successfully inscribed onto Sb2Te3 chalcogenide phase-change thin films using a home-built laser direct writer, where the expression and appearance of the lifelike bird and cat are fully presented. This work provides a way to fabricate complicated grayscale patterns using laser-induced bump structures onto chalcogenide phase-change thin films, different from current techniques such as photolithography, electron beam lithography, and focused ion beam lithography. The ability to form grayscale patterns of chalcogenide phase-change thin films reveals many potential applications in high-resolution optical images for micro/nano image storage, microartworks, and grayscale photomasks.

Journal ArticleDOI
27 Mar 2014-Sensors
TL;DR: The results indicate that, in electroless growth, high quality metal nanostructures with sizes below 50 nm may be easily obtained.
Abstract: The new revolution in materials science is being driven by our ability to manipulate matter at the molecular level to create structures with novel functions and properties. The aim of this paper is to explore new strategies to obtain plasmonic metal nanostructures through the combination of a top down method, that is electron beam lithography, and a bottom up technique, that is the chemical electroless deposition. This technique allows a tight control over the shape and size of bi- and three-dimensional metal patterns at the nano scale. The resulting nanostructures can be used as constituents of Surface Enhanced Raman Spectroscopy (SERS) substrates, where the electromagnetic field is strongly amplified. Our results indicate that, in electroless growth, high quality metal nanostructures with sizes below 50 nm may be easily obtained. These findings were explained within the framework of a diffusion limited aggregation (DLA) model, that is a simulation model that makes it possible to decipher, at an atomic level, the rules governing the evolution of the growth front; moreover, we give a description of the physical mechanisms of growth at a basic level. In the discussion, we show how these findings can be utilized to fabricate dimers of silver nanospheres where the size and shape of those spheres is controlled with extreme precision and can be used for very large area SERS substrates and nano-optics, for single molecule detection.

Journal ArticleDOI
TL;DR: In this paper, two-dimensional arrays of YBa2Cu3O7−δ superconducting quantum interference devices were fabricated and tested using a resist-mask that was patterned with electron beam lithography and reactive ion etching.
Abstract: We have fabricated and tested two-dimensional arrays of YBa2Cu3O7−δ superconducting quantum interference devices. The arrays contain over 36 000 nano Josephson junctions fabricated from ion irradiation of YBa2Cu3O7−δ through narrow slits in a resist-mask that was patterned with electron beam lithography and reactive ion etching. Measurements of current-biased arrays in magnetic field exhibit large voltage modulations as high as 30 mV.

Journal ArticleDOI
TL;DR: In this paper, a patterning of colloidal nanocrystal films that combines direct e-beam (electron beam) writing with cation exchange was reported. But the patterning was performed only in nonirradiated regions.
Abstract: In this Letter we report patterning of colloidal nanocrystal films that combines direct e-beam (electron beam) writing with cation exchange The e-beam irradiation causes cross-linking of the ligand molecules present at the nanocrystal surface, and the cross-linked molecules act as a mask for further processing Consequently, in the following step of cation exchange, which is performed by directly dipping the substrate in a solution containing the new cations, the regions that have not been exposed to the electron beam are chemically transformed, while the exposed ones remain unchanged This selective protection allows the design of patterns that are formed by chemically different nanocrystals, yet in a homogeneous nanocrystal film Spatially resolved compositional analysis by energy-dispersive X-ray spectroscopy (EDS) corroborates that the selective exchange occurs only in the nonirradiated regions We demonstrate the utility of this lithography approach by fabricating conductive wires and luminescent pa

Journal ArticleDOI
TL;DR: A theoretical model for transport in a core-shell wire, allowing to explain the dependence of the EBIC profiles on the experimental parameters and on the structural parameters of the wire (core and shell resistance, shunt resistance, etc).
Abstract: We report a systematic experimental and theoretical investigation of core–shell InGaN/GaN single wire light-emitting diodes (LEDs) using electron beam induced current (EBIC) microscopy. The wires were grown by catalyst-free MOVPE and processed into single wire LEDs using electron beam lithography on dispersed wires. The influence of the acceleration voltage and of the applied bias on the EBIC maps was investigated. We show that the EBIC maps provide information both on the minority carrier effects (i.e. on the local p–n junction collection efficiency) and on the majority carrier effects (i.e. the transport efficiency from the excited region toward the contacts). Because of a finite core and shell resistance a non-negligible current redistribution into the p–n junction takes place during the majority carrier transport. A theoretical model for transport in a core–shell wire is developed, allowing to explain the dependence of the EBIC profiles on the experimental parameters (the electron beam acceleration voltage and the bias applied on the device) and on the structural parameters of the wire (core and shell resistance, shunt resistance, etc). Comparison between simulated and experimental profiles provides valuable information concerning the structure inhomogeneities and gives insight into the wire electrical parameters.

Book
01 Jan 2014
TL;DR: In this article, the authors present a model for mask-substrate alignment via interferometric moire fringes in nanolithography processes, which can be used for low-dimensional devices and applications.
Abstract: Optical projection lithography Extreme ultraviolet (EUV) lithography Electron beam lithography Focused ion beams for nano-machining and imaging Masks for micro- and nanolithography Maskless photolithography Chemistry and processing of resists for nanolithography Directed assembly nanolithography Nanoimprint lithography Nanostructures: Fabrication and applications Nanophotonics: Devices for manipulating light at the nanoscale Nanodevices: Fabrication, prospects for low dimensional devices and applications Microfluidics: Fabrication technologies, interconnect approaches and applications Modeling of nanolithography processes Mask-substrate alignment via interferometric moire fringes Sidewall roughness in nanolithography: Origins, metrology and device effects New applications and emerging technologies in nanolithography.

Journal ArticleDOI
TL;DR: In this paper, the authors present an overview of the current state-of-the-art resist materials for proton beam writing and evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and ARP.

Journal ArticleDOI
TL;DR: In this paper, a bottom-up approach to fabricate nanoline structures using self-assembled monolayer (SAM) modified substrates to selectively prevent nucleation during atomic layer deposition (ALD).
Abstract: We demonstrate a bottom-up approach to fabricate nanoline structures using self-assembled monolayer (SAM) modified substrates to selectively prevent nucleation during atomic layer deposition (ALD). Low-energy (≤5 kV) electron-beam lithography (EBL) was used to modify the hydrophobic functional groups (−CH3) of octadecyltrichlorosilane (OTS) SAM to hydrophilic species (e.g., −COOH), which allows chemisorption of the titanium isopropoxide (TTIP) and water to initiate titanium oxide (TiO2) nucleation. TiO2 thin films were selectively deposited on the OTS molecules which were properly functionalized or patterned. We systematically investigate the effects of e-beam dose and accelerating voltage on selective TiO2 deposition with nanoline patterns. The results indicate that the former parameter determines the resolution of individual line width, while the latter one is attributed to the minimum pitch dimension of dense line patterns achievable. Using the optimal e-beam parameters, i.e., accelerating voltages of ...

Proceedings ArticleDOI
TL;DR: A modified 28nm- STI-like patterning platform for silicon photonics in 300mm Silicon-On-Insulator wafer technology and demonstrates superior performance both in terms of dimensional uniformity and device functionality compared to 248nm- or standard 193nmbased patterning in high-volume manufacture platform.
Abstract: Large-scale photonics integration has been proposed for many years to support the ever increasing requirements for long and short distance communications as well as package-to-package interconnects. Amongst the various technology options, silicon photonics has imposed itself as a promising candidate, relying on CMOS fabrication processes.. While silicon photonics can share the technology platform developed for advanced CMOS devices it has specific dimension control requirements. Though the device dimensions are in the order of the wavelength of light used, the tolerance allowed can be less than 1% for certain devices. Achieving this is a challenging task which requires advanced patterning techniques along with process control. Another challenge is identifying an overlapping process window for diverse pattern densities and orientations on a single layer. In this paper, we present key technology challenges faced when using optical lithography for silicon photonics and advantages of using the 193nm immersion lithography system. We report successful demonstration of a modified 28nmSTI-like patterning platform for silicon photonics in 300mm Silicon-On-Insulator wafer technology. By careful process design, within-wafer CD variation (1sigma) of 20 % from the best propagation loss reported for this cross-section fabricated using e-beam lithography. By using a single-mode low-confinement waveguide geometry the loss is further reduced to ~0.12 dB/cm. Secondly, we present improvement in within-device phase error in wavelength selective devices, a critical parameter which is a direct measure of line-width uniformity improvement due to the 193nm immersion system. In addition to these superior device performances, the platform opens scenarios for designing new device concepts using sub-wavelength features. By taking advantage of this, we demonstrate a cost-effective robust single-etch sub-wavelength structure based fiber-chip coupler with a coupling efficiency of 40 % and high-quality (1.1x105) factor wavelength filters. These demonstrations on the 193nm immersion lithography show superior performance both in terms of dimensional uniformity and device functionality compared to 248nm- or standard 193nmbased patterning in high-volume manufacture platform. Furthermore, using the wafer and patterning technology similar to advanced CMOS technology brings silicon photonics closer toward an integrated optical interconnect.

Journal ArticleDOI
TL;DR: An improvement in the thermal resistance of the mixed material has been demonstrated by fabricating multimode interference couplers and coupling regions of microring resonators and the fabrication of distributed Bragg reflector structures has shown improvement in terms of pattern definition accuracy.
Abstract: In this Letter, we present a method to prepare a mixed electron-beam resist composed of a positive resist (ZEP520A) and C60 fullerene. The addition of C60 to the ZEP resist changes the material properties under electron beam exposure significantly. An improvement in the thermal resistance of the mixed material has been demonstrated by fabricating multimode interference couplers and coupling regions of microring resonators. The fabrication of distributed Bragg reflector structures has shown improvement in terms of pattern definition accuracy with respect to the same structures fabricated with normal ZEP resist. Straight InP membrane waveguides with different lengths have been fabricated using this mixed resist. A decrease of the propagation loss from 6.6 to 3.3 dB/cm has been demonstrated.

Journal ArticleDOI
TL;DR: In this article, focused electron beam-induced processing (FEBIP) is compared to resist-based electron beam lithography (EBL) for the fabrication of devices with critical dimension in the range between 1 and 20nm.
Abstract: A perspective is sketched for the field of focused electron beam-induced processing (FEBIP). The FEBIP lithography technique is compared to the very successful resist-based electron beam lithography (EBL) technique. The advantages of FEBIP over EBL are identified, the main advantage being its high spatial resolution. This will enable FEBIP to become an important lithography technique for the fabrication of devices with critical dimension in the range between 1 and 20 nm and serve as a complementary technique to EBL. It will be discussed what needs to be done to achieve this and what the potential applications are.

Journal ArticleDOI
TL;DR: In this paper, the plasmonic properties of a rectangular gold nanodisk array in water to boost surface enhanced Raman scattering (SERS) effects were investigated with a view to biomedical and environmental applications.
Abstract: With a view to biomedical and environmental applications, we investigate the plasmonic properties of a rectangular gold nanodisk array in water to boost surface enhanced Raman scattering (SERS) effects. To control the resonance wavelengths of the surface plasmon polariton and the localized surface plasmon, their dependence on the array period and diameter in water is studied in detail using a finite difference time domain method. A good agreement is obtained between calculated resonant wavelengths and those of gold nanodisk arrays fabricated using electron beam lithography. For the optimized structure, a SERS enhancement factor of 7.8 × 107 is achieved in water experimentally.

Journal ArticleDOI
TL;DR: In this article, the plasmonic resonances of different noble metal nanostructures are tuned at signifi cantly different optical frequencies and are tuned to allow a signifi cant increase of light absorbance of the original gratings to an average value of 84% across a broad wavelength range.
Abstract: Engineered metal-dielectric-metal nanostructures with broadband absorbing properties in the visible spectral range are fabricated by combining the plasmonic resonances of different noble metal nanostructures. Silver nanocubes and gold nanogratings couple to each other using a dielectric polymer spacer with controllable thickness, resulting in a large multiplicative enhancement of absorption properties across a broad spectral range. Narrow, long nanogrooves in a gold fi lm are fi rst fabricated using electron beam lithography, after which a polymer spacer layer with a controllable thickness ranging from 4 to 12 nm is assembled by spin-assisted layer-by-layer assembly. Finally, silver nanocubes with different surface coverages ranging from 12% to 22% are deposited using the Langmuir‐Blodgett technique. The individual plasmon resonances of these different nanostructures are located at signifi cantly different optical frequencies and are tuned in this study to allow a signifi cant increase of light absorbance of the original gratings to an average value of 84% across the broad wavelength range of 450‐850 nm.