scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 1999"


Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this article, a template is created on a standard mask blank by using the patterned chromium as an etch mask to produce high-resolution relief images in the quartz.
Abstract: An alternative approach to lithography is being developed based on a dual-layer imprint scheme. This process has the potential to become a high-throughput means of producing high aspect ratio, high-resolution patterns without projection optics. In this process, a template is created on a standard mask blank by using the patterned chromium as an etch mask to produce high-resolution relief images in the quartz. The etched template and a substrate that has been coated with an organic planarization layer are brought into close proximity. A low-viscosity, photopolymerizable formulation containing organosilicon precursors is introduced into the gap between the two surfaces. The template is then brought into contact with the substrate. The solution that is trapped in the relief structures of the template is photopolymerized by exposure through the backside of the quartz template. The template is separated from the substrate, leaving a UV-curved replica of the relief structure on the planarization layer. Features smaller than 60 nm in size have been reliably produced using this imprinting process. The resolution silicon polymer images are transferred through the planarization layer by anisotropic oxygen reactive ion etching. This paper provides a progress report on our efforts to evaluate the potential of this process.

643 citations


Journal ArticleDOI
TL;DR: In this article, a reactive ion etching process using SF6 has been developed to transfer the patterns to a depth of more than 100 nm into silicon, showing that a high contrast image is present within the resist layer, and that the exposure is dominated by one polarization for the grating structures studied.
Abstract: Patterning at resolution below the diffraction limit for projection optical lithography has been demonstrated using evanescent near-field optical lithography with broadband illumination (365–600 nm). Linewidths of 50 nm and gratings with 140 nm period have been achieved. Ultrathin photoresist layers in conjunction with conformable photomasks are employed and a reactive ion etching process using SF6 has been developed to transfer the patterns to a depth of more than 100 nm into silicon. Full electromagnetic field simulations of the exposure process show that a high contrast image is present within the resist layer, and that the exposure is dominated by one polarization for the grating structures studied.

204 citations


Patent
13 Dec 1999
TL;DR: In this paper, a modified shape can then be generated by moving edges of the original shape based on the width and distance measurements, and a normalized space and correct number of assist features can be computed.
Abstract: A semiconductor device can be fabricated using a photomask that has been modified using an assist feature design method (see e.g., FIG. 4 A) based on normalized feature spacing. Before the device can be fabricated, a layout of original shapes is designed ( 402 ). For at least some of the original shapes, the width of the shape and a distance to at least one neighboring shape are measured ( 404 ). A modified shape can then be generated by moving edges of the original shape based on the width and distance measurements ( 406 ). This modification can be performed on some or all of the original shapes ( 408 ). For each of the modified shapes, a normalized space and correct number of assist features can be computed ( 410 ). The layout is then modified by adding the correct number of assist features in a space between the modified shape and the neighboring shape ( 412 ). This modified layout can then be used in producing a photomask, which can in turn be used to produce a semiconductor device.

190 citations


Patent
03 Feb 1999
TL;DR: In this paper, a cylindrical photolithography apparatus for exposing a pattern onto a photoresist-coated substrate cylinder and the process of using the apparatus is described.
Abstract: The present invention is directed to a novel apparatus for exposing a pattern onto a photoresist-coated substrate cylinder and the process of using the apparatus. The cylindrical photolithography apparatus of the present invention comprises two adjacent cylindrical support rollers between which a portion of a flexible photomask extends in the form of a loop. The photoresist-coated substrate cylinder is received in the loop and a tension device, such as a weight, is engaged with the photomask to pull the photomask into contact with the photoresist-coated substrate cylinder over a substantial portion of the circumference of the substrate cylinder. A drive mechanism pulls the photomask over the surface of the photoresist-coated substrate cylinder thereby causing the substrate cylinder to rotate. Exposure light is provided during movement of the photomask to expose a pattern contained on the photomask onto the photoresist. In an alternative embodiment of the present invention, designed for small substrate cylinders and/or substrate cylinders coated with a thick resist, a cylindrical lens is supported above the support cylinders and the photoresist-coated substrate cylinder to focus the light from the light device in a radial direction to increase resolution of the pattern. In applications requiring even higher resolution, a panel defining an aperture slit is placed between the light device and cylindrical lens to further focus the light rays.

101 citations


Patent
Calvin F. Quate1, David Stern1
28 May 1999
TL;DR: In this paper, the authors proposed a direct write system for polymer array synthesis, where each pixel is illuminated with an optical beam of suitable intensity and the imaging (printing) of an individual feature is determined by computer control of the spatial light modulator at each photolithographic step.
Abstract: An improved optical photolithography system and method provides predetermined light patterns generated by a direct write system without the use of photomasks. The Direct Write System provides predetermined light patterns projected on the surface of a substrate (e.g., a wafer) by using a computer controlled means for dynamically generating the predetermined light pattern, e.g., a spatial light modulator. Image patterns are stored in a computer and through electronic control of the spatial light modulator directly illuminate the wafer to define a portion of the polymer array, rather than being defined by a pattern on a photomask. Thus, in the Direct Write System each pixel is illuminated with an optical beam of suitable intensity and the imaging (printing) of an individual feature is determined by computer control of the spatial light modulator at each photolithographic step without the use of a photomask. The Direct Write System including a spatial light modulator is particularly useful in the synthesis of DNA arrays and provides an efficient means for polymer array synthesis by using spatial light modulators to generate a predetermined light pattern that defines the image patterns of a polymer array to be deprotected.

75 citations


Patent
28 Oct 1999
TL;DR: In this paper, a generic topography pattern is formed on the substrate underlying a continuous opaque mask layer which subsequently is patterned with a device-specific array of apertures, and the image projected from a phase-shifting mask comprised of the generic topographical pattern and the device specific aperture pattern is combined with an associated conventional photomask, the photoresist pattern that results corresponds to desired device layers with the imaging advantages of strong phase shifting masks, but without the need for specific patterning of the topography patterns.
Abstract: The fine dark features in the images projected from strong phase-shifting masks used for microdevice lithography are accompanied by 180° shifts in the optical phase, produced by a topography pattern distinct from the pattern of apertures that define the bright features. A generic topography pattern can be formed on the substrate underlying a continuous opaque mask layer which subsequently is patterned with a device-specific array of apertures. When the image projected from a phase-shifting mask comprised of the generic topography pattern and the device-specific aperture pattern is combined with a device-specific image projected from an associated conventional photomask, the photoresist pattern that results corresponds to desired device layers with the imaging advantages of strong phase-shifting masks, but without the need for specific patterning of the topography pattern.

68 citations


Patent
David Y. Chan1
30 Sep 1999
TL;DR: A method for creating a photomask which includes a layer of hard mask material the inclusion of which improves the uniformity of critical dimensions on the photomasks by minimizing the affect of macro and micro loading is described in this article.
Abstract: A method for creating a photomask which includes a layer of hard mask material the inclusion of which improves the uniformity of critical dimensions on the photomask by minimizing the affect of macro and micro loading. The method for producing the photomask of the instant invention includes two etching processes. The first etching process etches the layer of hard mask, and the second etching process etches the anti-reflective material and opaque material.

63 citations


Patent
Calvin F. Quate1, David Stern1
26 May 1999
TL;DR: In this paper, a direct write system is proposed to generate predetemined light patterns without the use of photomasks, which can be used for polymer array synthesis by using spatial light modulators to generate a predetermined light pattern.
Abstract: An improved optical photolithography system and method provides predetemined light patterns generated by a direct write system without the use of photomasks. The Direct Write System provides predetermined light patterns projected on the surface of a substrate (e.g., a wafer) by using a computer controlled component for dynamically generating the predetermined light pattern, e.g., a spatial light modulator. Image patterns are store in computer and through electronic control of the spatial light modulator directly illuminate the wafer to define a portion of the polymer array, rather than being defined by a pattern on a photomask. Thus, in the Direct Write System each pixel is illuminated with an optical beam of suitable intensity and the imaging (printing) of an individual feature is determined by computer control of the spatial light modulator at each photolithographic step without the use of a photomask. The Direct Write System including a spatial light modulator is particularly useful in the synthesis of DNA arrays and provides an efficient element for polymer array synthesis by using spatial light modulators to generate a predetermined light pattern that defines the image patterns of a polymer array to be deprotected.

61 citations


Journal ArticleDOI
TL;DR: In this article, the durability tests of calcium fluoride, modified fused silica, and optical coatings for 157 nm applications were conducted and it was shown that at least one grade already meets transparency and durability requirements for reticle substrates for 157nm applications.
Abstract: Photolithography using 157 nm pulsed fluorine lasers has emerged as the leading candidate technology for the post-193-nm generation. Preliminary data have indicated that at 157 nm there are optical materials transparent enough to enable the fabrication of refractive elements, both in the projection and illumination part of the optical train. However, a number of critical issues still remain. Optical materials must show no appreciable degradation with laser irradiation. The availability of transparent photomask substrates must be ascertained. Optical coatings must be developed and qualified. At this short wavelength, interface effects, subsurface damage, and adsorbate effects become increasingly prominent. We present recent experimental results on the durability tests of calcium fluoride, modified fused silica, and optical coatings for 157 nm applications. Our initial assessment of several grades of modified fused silica demonstrates that at least one grade already meets transparency and durability requirements for reticle substrates for 157 nm applications. For both bulk calcium fluoride and antireflectance coatings our tests to date show no degradation for 300 million pulses at fluences up to 3 mJ/cm2/pulse. We do observe degradation of beam steering mirrors in our experimental setup. Detailed damage analysis of these coatings is presented.

56 citations


Patent
Tadao Yasuzato1, Shinji Ishida1
29 Dec 1999
TL;DR: In this article, a photomask in which contrast of light intensity of a pattern to be transferred (main pattern) is enhanced on an image plane while transfer of auxiliary pattern themselves is suppressed is described.
Abstract: Disclosed is a photomask in which contrast of light intensity of a pattern to be transferred (main pattern) is enhanced on an image plane while transfer of auxiliary pattern themselves is suppressed. The photomask, which is used in exposure to which is applied four-point illumination method for inverting phase of light that passes through mutually adjacent patterns, has first auxiliary patterns, disposed above and below and to the left and right of a main pattern to be transferred, to thereby provide a transparent substrate with a surface flush with the main pattern or with a difference in level corresponding to a phase difference with respect to the main pattern that is K times 360° (where K is an integer that does not include zero), and second auxiliary patterns disposed at angles of 45° with respect to the main pattern, the second auxiliary patterns providing a difference in level corresponding to a phase difference, with respect to the first auxiliary patterns, that is (2L+1) times 180° (where L is an integer inclusive of zero). The contrast of the first auxiliary patterns is reduced by the second auxiliary patterns, thereby enlarging the depth of focus.

55 citations


Proceedings ArticleDOI
14 Jun 1999
TL;DR: In this paper, a DUV 4X reduction stepper was used to print a reticle with programmed defects across an exposure/focus matrix, with the minimum feature size being 200 nm.
Abstract: As semiconductor processes have moved towards lower k 1 and mask inspection equipment has moved into the UV range, more subtle reticle defects have been found to cause manufacturing problems. Lower k 1 and new lithography processes and reticle technologies, such as OPC and PSM, have made it difficult to determine the significant and these defects. This paper reports on the development of a simulation tool that will improve the yield and productivity of photomask manufacturers and wafer manufacturers by improving reticle defect assessment. This study demonstrates the accuracy of simulation software that predicts resist patterns based on sophisticated modeling software that uses optical images obtained from a state-of-the-art UV optical inspection system. A DUV 4X reduction stepper was used to print a reticle with programmed defects across an exposure/focus matrix, with the minimum feature size being 200 nm. Quantitative comparisons between predicted and measured wafer CDs were made. In summary, it was found that the simulation software based solely on aerial images predicted absolute CDs with limited accuracy, but differential CDs with limited accuracy, but differential CDs, obtained by utilizing both the reference and defect images, were predicted accurately. Comparison of simulations using both reticle SEM images and optical reticle inspection images showed good agreement, demonstrating the accuracy and high resolution of the optical reticle inspection images. Application of differential aerial images to a simple test case showed that it was possible to identify and therefore eliminate a significant number of defects that did not print, thereby improving defect assessment.

Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, the authors developed an optical proximity correction (OPC) program that can be applied to a strong or attenuated phase shift mask as well as to a binary mask.
Abstract: As the design rule of semiconductor microchips gets smaller, the distortion of a patterned image due to the optical proximity effect (OPE) becomes the limiting factor in the mass production. We developed an optical proximity correction (OPC) program that can be applied to a strong or attenuated phase shift mask as well as to a binary mask. The OPC program named OPERA is based on a stochastic approach as other rule-free OPC programs, but it has tow remarkable points. Firstly, proper cost function and optimization strategy enable us to achieve very closely clustered mask pattern that could be manufactured at a reasonable cost. Secondly, OPERA can carry out the optimization of illumination parameters for any modified illumination methods, such as, annular or quadrupole using the critical dimensions information of mask patterns.

Journal ArticleDOI
16 Jun 1999-Langmuir
TL;DR: In this paper, microfiche has been used as the photomask in 1:1 contact photolithography to generate structures of photoresist with features as small as 10 μm.
Abstract: Microfiche has been used as the photomask in 1:1 contact photolithography to generate structures of photoresist with features as small as 10 μm. Optical reduction of images that were printed by a high-resolution image setter on transparent polymer sheets generated patterns in microfiche. The photoresist patterns generated using microfiche as the photomask are useful in the fabrication of elastomeric stamps/molds/membranes for soft lithography. Four test structures fabricated using microfiche as photomask and soft lithography are used to evaluate the utility and resolution of this technique.

Patent
27 May 1999
TL;DR: In this paper, a method for determining whether a defect that is detected by photomask inspection will adversely affect a semiconductor device, such as a wafer, is proposed, which has the ability of relating defect specifications directly to device performance and wafer yields and assessing the impact of combining the defect with the critical dimension error using standard inspection tools.
Abstract: A method for determining whether a defect that is detected by photomask inspection will adversely affect a semiconductor device, such as a wafer. The method has the ability of relating defect specifications directly to device performance and wafer yields, and assessing the impact of combining the defect with the critical dimension error using standard inspection tools. More specifically, the method includes the steps of: inspecting the photomask for defects; measuring the size and location of the defects relative to features on the photomask; classifying the defects by type of defect; assigning an equivalent mask critical dimension error (EME) value to each of the features based on size, location and type of defect; assigning a total mask error to each of the features by adding EME values to each defect impacting the features; and comparing the equivalent critical dimension error to a mask critical dimension error tolerance to determine whether the defects adversely affect the performance of the semiconductor device.

Patent
25 Jun 1999
TL;DR: In this paper, a photomask comprised of a plurality of intersecting subresolution features is used to create an image on an image plane utilizing energy created by an energy source, which diffracts the light to produce constructive or positive interference.
Abstract: A method for creating an image on an image plane utilizing a photomask comprised of a plurality of intersecting subresolution features. Energy created by an energy source is projected through the subresolution features which diffract the light to produce constructive or positive interference thereby resulting in an image being formed on the image plane that is different than the image or pattern of subresolution features on the photomask.

Patent
Nobuyuki Irie1
26 Mar 1999
TL;DR: In this article, a method of manufacturing high-accuracy and high-quality photomask and micro-device, where marks (53) are formed in the surrounding areas (52) of a mask (Ri) and a management mask (60) having marks (63) formed in positions opposite to these marks and marks (64 to 66) forming in a pattern area (61) is provided.
Abstract: A method of manufacturing high-accuracy and high-quality photomask and micro-device, wherein marks (53) are formed in the surrounding areas (52) of a mask (Ri) and a management mask (60) having marks (63) formed in positions opposite to these marks and marks (64 to 66) formed in a pattern area (61) is provided. Image characteristics are corrected by measuring the projected images of the marks (64 to 66) of the management mask (60) and adjusting a projection magnification so as to minimize deviations of projected images of marks (64 to 66) from ideal positions, and the positions of the projected images of marks (63) at that time are measured. When transferring the pattern (Pi) of the mask (Ri), the positions of the projected images of the marks (53) of the mask (Ri) are measured and the image characteristics are corrected so that these positions virtually agree with the positions of the marks (63) of the management mask, the pattern (Pi) of the mask (Ri) then being transferred onto a photosensitive substrate (4).

Proceedings ArticleDOI
30 Dec 1999
TL;DR: In this article, the effect of mask repairs to resist pattern images for the binary mask case is discussed and the simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.
Abstract: As advanced process technologies in the wafer fabs push the patterning processes toward lower k1 factor for sub-wavelength resolution printing, reticles are required to use optical proximity correction (OPC) and phase-shifted mask (PSM) for resolution enhancement. For OPC/PSM mask technology, defect printability is one of the major concerns. Current reticle inspection tools available on the market sometimes are not capable of consistently differentiating between an OPC feature and a true random defect. Due to the process complexity and high cost associated with the making of OPC/PSM reticles, it is important for both mask shops and lithography engineers to understand the impact of different defect types and sizes to the printability. Aerial Image Measurement System (AIMS) has been used in the mask shops for a number of years for reticle applications such as aerial image simulation and transmission measurement of repaired defects. The Virtual Stepper System (VSS) provides an alternative method to do defect printability simulation and analysis using reticle images captured by an optical inspection or review system. In this paper, pre- programmed defects and repairs from a Defect Sensitivity Monitor (DSM) reticle with 200 nm minimum features (at 1x) will be studied for printability. The simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.Process window comparison between unrepaired and repaired defects for both good and bad repair cases will be shown. The effect of mask repairs to resist pattern images for the binary mask case will be discussed. AIMS simulation was done at the International Sematech, Virtual stepper simulation at Zygo and resist wafers were processed at AMD-Submicron Development Center using a DUV lithographic process for 0.18 micrometer Logic process technology.

Patent
22 Dec 1999
TL;DR: In this paper, alternating dice on a wafer with different process conditions are used to detect defects on at least one photomask by patterning alternating dice with different optical focus conditions.
Abstract: Defects on at least one photomask are detected by patterning alternating dice on a wafer with different process conditions. The different conditions, such as a length of exposure time and an optical focus condition, are configured to highlight and detect defect areas.

Journal ArticleDOI
TL;DR: A high‐resolution near‐field optical tool designed for repair of opaque defects in binary photomasks, the MR‐100 incorporates an industrial amplified femtosecond laser, third harmonic generator and built‐in autocorrelator.
Abstract: We present a high-resolution near-field optical tool designed for repair of opaque defects in binary photomasks. Both instrument design and near-field imaging and patterning results will be presented. Designed for ablative processing of thin metal films, the MR-100 incorporates an industrial amplified femtosecond laser, third harmonic generator and built-in autocorrelator. The ultrashort duration of the femtosecond pulses enables the tool to remove chrome layers with negligible damage to the surrounding metal or the underlying quartz substrate. The micropipette based near-field writing head can deliver power densities of hundreds of GW/cm2 to spots of several hundred nanometres and below. Repairs on sample masks will be presented and the repair quality will be discussed.

Patent
30 Jul 1999
TL;DR: In this article, a three-layer construction of CrN/CrC/CrON over a transparent substrate by reactive sputtering is used to obtain a photomask blank.
Abstract: In obtaining a photomask blank 1 by disposing a sputtering target in a vacuum chamber and forming thin films 3, 4, and 5 with a three-layer construction of CrN/CrC/CrON over a transparent substrate 2 by reactive sputtering, the thin films are formed in a mixed gas atmosphere containing helium, and the helium gas flux in the mixed gas is controlled such that the crystal grain diameter of the CrC thin film, which is the thickest film, will be 3 to 7 nm. This yields a photomask blank having thin films with low film stress, having good film quality, and which can be produced at a high yield in mass production.

Patent
Naomasa Shiraishi1
16 Mar 1999
TL;DR: In this article, an original pattern for transfer is formed in a pattern region (52) on a pattern surface (1P) of a reticle, and a wafer is disposed over the pattern surface at an interval of 2 to 10 mm from the reticle through a rectangular holding frame (2) in such a way as to encompass the pattern region.
Abstract: A photomask having a sufficient durability against an exposure beam of short wavelength and free from foreign matters to adhere to the pattern for transfer. An original pattern for transfer is formed in a pattern region (52) on a pattern surface (1P) of a reticle (1). A wafer (3) transparent to the exposure beam is disposed over the pattern surface (1P) at an interval of 2 to 10 mm from the reticle through a rectangular holding frame (2) in such a way as to encompass the pattern region (52). When the exposure beam is of ultraviolet radiation with a wavelength of 300 to 100 nm, the wafer (3) is a quartz glass sheet having a thickness of 0.05 to 2 mm or a sheet of fluorite both sides of which are polished.

Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this paper, the authors show that the thermal expansion of Si is too large to meet overlay error budgets for lithography at ground rules beyond the 100 nm technology node, and that significant machine design and engineering challenges are necessary in order to employ Si as a mask substrate.
Abstract: Lithographic masks must maintain dimensional stability during exposure in a wafer stepper. In extreme UV lithography, multilayer coatings are deposited on a flat mask, substrate to make the mask surface reflective at EUV wavelengths. About 40 percent of the incident EUV radiation is absorbed by the multilayer coatings causing a temperature rise. The choice of mask substrate material affects dimensional stability due to thermal expansion and/or deformation. Finite element modeling has ben used to investigate the proper choice of mask substrate material and to explore the efficacy of various thermal management strategies. This modeling indicates that significant machine design and engineering challenges are necessary in order to employ Si as a mask substrate. Even if these challenges can be met, the thermal expansion of Si is likely to be too large to meet overlay error budgets for lithography at ground rules beyond the 100 nm technology node. ULE - a single phase, fused silica glass doped with titania - has near zero thermal expansion at the temperatures where EUV lithography is performed. Due to its small coefficient of thermal expansion, ULE does not undergo appreciable instantaneous or transient thermal expansion that results in image placement error.

Patent
18 Nov 1999
TL;DR: In this paper, an optical proximity correction method for rectifying pattern on negative photoresist was proposed, where the line pattern of integrated circuit is divided into L-shape regions or T-shaped regions.
Abstract: An optical proximity correction method for rectifying pattern on negative photoresist. Line pattern of integrated circuit is divided into L-shape regions or T-shaped regions. The L-shaped or T-shaped regions are further dissected into rectangular patches. Area of each rectangular patch is suitably reduced and reproduced onto a photomask. The photomask is used to form a corrected photoresist pattern.

Patent
06 Dec 1999
TL;DR: In this paper, a method of manufacturing a semiconductor device, light is applied through the cell patterns made in master masks, thereby transferring the cell pattern to, and forming cell patterns on, a wafer.
Abstract: A method of manufacturing a semiconductor device, light is applied through the cell patterns made in master masks, thereby transferring the cell patterns to, and forming the cell patterns on, a wafer. On the basis of layout data representing a layout diagram of the semiconductor device, the pattern data of the device is divided along the boundaries of the function blocks of the device, generating pattern data items. Master masks are prepared in accordance with the pattern data items. Light is applied to the wafer, first through the master mask and then through the master mask. The cell patterns made in the master masks are transferred to the wafer.

Proceedings ArticleDOI
30 Aug 1999
TL;DR: The expansion of traditional microelectronic lithography into very thick photoresists presents a technical challenge for stepper manufacturers that have traditionally attempted to optimize resolution and depth of focus for thin photoresist systems as mentioned in this paper.
Abstract: Images formed in thick photosensitive materials are widely used as electroplating molds for micro-electromechanical (MEMS) part and other electronic applications such as bump bonding, thin film heads and multichip module manufacturing. The expansion of traditional microelectronic lithography into very thick photoresists present a technical challenge for stepper manufacturers that have traditionally attempted to optimize resolution and depth of focus for thin photoresist systems. Stepper optics and illumination needs to be re-optimized for the best performance in thick photosensitive materials.

Proceedings ArticleDOI
30 Apr 1999
TL;DR: In this article, a replication technique for the wafer scale integration of micro-optical elements is presented and illustrated by various examples based on polymer UV reaction molding using a modified contact mask aligned where mask and wafer are replaced by the replication tool and an arbitrary substrate, respectively.
Abstract: A replication technique allowing for the wafer scale integration of microoptical elements is presented and illustrated by various examples. The technique is based on polymer UV reaction moulding using a modified contact mask aligned where mask and wafer are replaced by the replication tool and an arbitrary substrate, respectively. The technology takes advantage of the high precision and adjustment accuracy of photolithography equipment. The replication masters are nickel shims, etched Silicon wafers or uv-transparent fused silica tools. The latter ones allow for replication on opaque substrates. Additionally, polymer elements with unique properties can be obtained by the combination of replication and resist technology using partially transparent replication tools. Wafer scale hybrid integration of microoptical subsystems is accomplished by replication of polymer elements like lenses, lens arrays, micro prisms etc. onto semiconductor wafers containing detectors or VCSELs, or by combining microoptical elements on both sides of a glass wafer. The use of thin layers of uv cured polymers on inorganic substrates results in good thermal and mechanical stability compare to all-polymer devices.

Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this article, the substractive metal and damascene process flows were used for EUV mask fabrication using silicon wafer process tools, and the results showed that the simpler subtractive metal process is compatible with the current reticle defect repair methodologies.
Abstract: In the last two years, we have developed tow Extreme UV (EUV) mask fabrication process flows, namely the substractive metal and the damascene process flows, utilizing silicon wafer process tools. Both types of EUV mask have been tested in a 10X reduction EUV exposure system. Dense lines less than 100 nm in width have been printed using both 0.6 micrometers thick top surface imaging resists and ultra-thin DUV resist. The EUV masks used in EUV lithography development work have been routinely made by using the current wafer process tools. The two EUV mask processes that we have developed both have some advantages and disadvantages. The simpler subtractive metal process is compatible with the current reticle defect repair methodologies. On the other hand, the more complex damascene process facilitates mask cleaning and particle inspection.

Patent
17 Sep 1999
TL;DR: In this article, a method where photomasks a1-a3, to which corrective distortions for correcting transfer pattern distortions proper to specified aligners are added are made, and an aligner identification mark E indicating the specified aligner X1 is formed, when the photomask a1 is made to have the mask aligner D3, such as the mask manufacturing number, of the image a2, is stored so as to be able to correspond to the specified alignment X3.
Abstract: PROBLEM TO BE SOLVED: To correct distortion of a transfer pattern, which is proper to each aligner and enables pattern transfer with high accuracy. SOLUTION: By a method where photomasks a1-a3, to which corrective distortions for correcting transfer pattern distortions proper to specified aligners are added are made, and an aligner identification mark E indicating the specified aligner X1 is formed, when the photomask a1 is made to have the photomask a1 correspond to the specified aligner X1, where mask aligner combination data by which the identification mark D3, such as the mask manufacturing number, etc., of the photomask a2, is made to correspond to the specified aligner X2 for management or where the photomask a3 is stored so as to be able to correspond to the specified aligner X3, the specified aligners X1-X3 are combined with the photomasks a1-a3 which correct the transfer pattern distortions proper to the aligners.

Patent
14 Jan 1999
TL;DR: In this paper, a method of repairing defects in a photomask used in the formation of a semiconductor wafer using the use of a scanning tunneling microscope is described. But this method is limited to the case where the tip is placed in proximity to those areas in need of the excess material and caused to deposit such material upon application of a bias voltage to the tip.
Abstract: A method of repairing defects in a photomask used in the formation of a semiconductor wafer includes the use of a scanning tunneling microscope. The scanning tunneling microscope includes a very sharp tip having a diameter on the order of 100 Å or less. In order to remove excess material from a mask layer in the photomask, the tip is placed into contact with those regions having such excess material and the tip is used to scrape the excess material away. In order to add material to voids in a mask layer of the photomask, the tip is placed in proximity to those areas in need of the excess material and caused to deposit such material upon, for example, application of a bias voltage to the tip.

Patent
08 Oct 1999
TL;DR: An attenuated phase shift mask for use in a lithography process includes a masking film made of at least one material with at least a silicon component which provides a transmission above about 0.5 percent and a phase shift of about a 180° for radiation at a wavelength at or below about 160 nm as mentioned in this paper.
Abstract: An attenuated phase shift mask for use in a lithography process includes a masking film made of at least one material with at least a silicon component which provides a transmission above about 0.5 percent and a phase shift of about a 180° for radiation at a wavelength at or below about 160 nm.