scispace - formally typeset
Search or ask a question

Showing papers on "Plasma-enhanced chemical vapor deposition published in 2016"


Journal ArticleDOI
TL;DR: The goal of this review is to illustrate the increasing application of plasma-based technologies for tuning the surface properties of polymers, principally through free-radical chemistry.
Abstract: With the advances in science and engineering in the second part of the 20th century, emerging plasma-based technologies continuously find increasing applications in the domain of polymer chemistry, among others. Plasma technologies are predominantly used in two different ways: for the treatment of polymer substrates by a reactive or inert gas aiming at a specific surface functionalization or for the synthesis of a plasma polymer with a unique set of properties from an organic or mixed organic–inorganic precursor. Plasma polymer films (PPFs), often deposited by plasma-enhanced chemical vapor deposition (PECVD), currently attract a great deal of attention. Such films are widely used in various fields for the coating of solid substrates, including membranes, semiconductors, metals, textiles, and polymers, because of a combination of interesting properties such as excellent adhesion, highly cross-linked structures, and the possibility of tuning properties by simply varying the precursor and/or the synthesis p...

152 citations


Patent
04 Mar 2016
TL;DR: In this article, the authors present methods and related apparatus that facilitate patterning by performing highly non-conformal (directional) deposition on patterned structures, such as a hard mask.
Abstract: Provided herein are methods and related apparatus that facilitate patterning by performing highly non-conformal (directional) deposition on patterned structures. The methods involve depositing films on a patterned structure, such as a hard mask. The deposition may be both substrate-selective such that the films have high etch selectivity with respect to an underlying material to be etched and pattern-selective such that the films are directionally deposited to replicate the pattern of the patterned structure. In some embodiments, the deposition is performed in the same chamber as a subsequent etch is performed. In some embodiments, the deposition may be performed in a separate chamber (e.g., a PECVD deposition chamber) that is connected to the etch chamber by a vacuum transfer chamber. The deposition may be performed prior to or at selected intermittences during at etch process. In some embodiments, the deposition involves multiple cycles of a deposition and treatment process.

135 citations


Journal ArticleDOI
TL;DR: In this article, the authors apply n-and p-type polycrystalline silicon (poly-Si) films on tunneling SiOx to form passivated contacts to n-type Si wafers.
Abstract: We apply n- and p-type polycrystalline silicon (poly-Si) films on tunneling SiOx to form passivated contacts to n-type Si wafers. The resulting induced emitter and n+/n back surface field junctions of high carrier selectivity and low contact resistivity enable high efficiency Si solar cells. This work addresses the materials science of their performance governed by the properties of the individual layers (poly-Si, tunneling oxide) and more importantly, by the process history of the cell as a whole. Tunneling SiOx layers (<2 nm) are grown thermally or chemically, followed by a plasma enhanced chemical vapor deposition growth of p+ or n+ doped a-Si:H. The latter is thermally crystallized into poly-Si, resulting in grain nucleation and growth as well as dopant diffusion within the poly-Si and penetration through the tunneling oxide into the Si base wafer. The cell process is designed to improve the passivation of both oxide interfaces and tunneling transport through the oxide. A novel passivation technique involves coating of the passivated contact and whole cell with atomic layer deposited Al2O3 and activating them at 400 °C. The resulting excellent passivation persists after subsequent chemical removal of the Al2O3. The preceding cell process steps must be carefully tailored to avoid structural and morphological defects, as well as to maintain or improve passivation, and carrier selective transport. Furthermore, passivated contact metallization presents significant challenges, often resulting in passivation loss. Suggested remedies include improved Si cell wafer surface morphology (without micropyramids) and postdeposited a-Si:H capping layers over the poly-Si.

130 citations


Journal ArticleDOI
TL;DR: The results show that the electrochemical behavior of CoOx/Ti strongly depends on the resulting electronic structure and composition, and this change in oxidation state is coupled with a decrease in overpotential during the OER.
Abstract: To reduce energy losses in water electrolysers a fundamental understanding of the water oxidation reaction steps is necessary to design efficient oxygen evolution catalysts. Here we present CoOx/Ti electrocatalytic films deposited by thermal and plasma enhanced chemical vapor deposition (CVD) onto titanium substrates. We report electrochemical (EC), photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) measurements. The electrochemical behavior of the samples was correlated with the chemical and electronic structure by recording XPS spectra before and after each electrochemical treatment (conditioning and cyclovoltammetry). The results show that the electrochemical behavior of CoOx/Ti strongly depends on the resulting electronic structure and composition. The thermal deposition leads to the formation of a pure Co(II)Ox which transforms to a mixed Co(II)Co(III)Ox during the OER. This change in oxidation state is coupled with a decrease in overpotential from η = 0.57 V to η = 0.43 V at 5 mA cm−2. Plasma deposition in oxygen leads to a Co(III)-dominated mixed CoOx, that has a lower onset potential as deposited due to a higher Co(III) content in the initial deposited material. After the OER XPS results of the CoOx/Ti indicate a partial formation of hydroxides and oxyhydroxides on the oxide surface. Finally the plasma deposition in air, results in a CoOxOH2 surface, that is able to completely oxidizes during OER to an oxyhydroxide Co(III)OOH. With the in situ formed CoOOH we present a highly active catalyst for the OER (η = 0.34 at 5 mA cm−2; η = 0.37 V at 10 mA cm−2).

96 citations


Journal ArticleDOI
TL;DR: In this paper, a technique to make poly-Si (p + )/SiO x contacts for crystalline silicon solar cells based on doping PECVD intrinsic amorphous silicon (a-Si) by means of a thermal BBr 3 diffusion process is demonstrated.

82 citations


Journal ArticleDOI
TL;DR: In this article, an RF plasma discharge was employed to deposit thin poly(hexafluorobutyl acrylate) (PHFBA) polymeric films on expanded perlite.

63 citations


Journal ArticleDOI
TL;DR: In this paper, secondary ion mass spectrometry (SIMS) depth profiling of iron is performed on annealed iron-contaminated single-crystalline silicon wafers passivated with PECVD silicon nitride films.
Abstract: It is known that the interstitial iron concentration in silicon is reduced after annealing silicon wafers coated with plasma-enhanced chemical vapour deposited (PECVD) silicon nitride films. The underlying mechanism for the significant iron reduction has remained unclear and is investigated in this work. Secondary ion mass spectrometry (SIMS) depth profiling of iron is performed on annealed iron-contaminated single-crystalline silicon wafers passivated with PECVD silicon nitride films. SIMS measurements reveal a high concentration of iron uniformly distributed in the annealed silicon nitride films. This accumulation of iron in the silicon nitride film matches the interstitial iron loss in the silicon bulk. This finding conclusively shows that the interstitial iron is gettered by the silicon nitride films during annealing over a wide temperature range from 250 °C to 900 °C, via a segregation gettering effect. Further experimental evidence is presented to support this finding. Deep-level transient spectrosc...

51 citations



Journal ArticleDOI
TL;DR: It is found that both SiOx interfaces did not affect the cell viability of both cell types, and SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina.
Abstract: Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma–optical emission spectroscopy (ICP-OES...

43 citations


Journal ArticleDOI
TL;DR: Based on X-ray diffraction (XRD) results, the evolution of crystallographic orientation of ZnO thin films from polar c-plane (0002), polar m-plane and nonpolar m-planar (1010) coexist to non-polar a-plane coexist was achieved by a simple factor of controlling synthesized temperature as discussed by the authors.
Abstract: The intrinsic zinc oxide (ZnO) thin films with controllable crystallographic orientation have been synthesized on Si(100) substrates using plasma-enhanced chemical vapor deposition (PECVD) system without any buffer layer. Based on X-ray diffraction (XRD) results, the evolution of crystallographic orientation of ZnO thin films from polar c-plane (0002), polar c-plane and nonpolar m-plane (1010) coexist to nonpolar m-plane and a-plane (1120) coexist was achieved by a simple factor of controlling synthesized temperature. The plane-view morphological images exhibited that the surface texture and grain shape of ZnO thin films could have evolved from hexagonal to stripelike grains when the ZnO crystallographic orientation changed from perpendicular to parallel to the substrate. The characterization analysis indicated that the zinc precursor [diethylzinc (DEZn), Zn(C2H5)2] played a key role on the crystallographic orientation evolution of ZnO thin films during the early stage of the growth process because DEZn...

40 citations


Patent
06 Jul 2016
TL;DR: In this paper, the authors describe a method for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination, which is used in the fabrication of integrated circuits.
Abstract: Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination. In one implementation, the method comprises flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein, flowing a boron-containing gas mixture into the processing volume, stabilizing the pressure in the processing volume for a predefined RF-on delay time period, generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron-containing amorphous film on the substrate, exposing the processing volume of the process chamber to a dry cleaning process and depositing an amorphous boron season layer over at least one surface in the processing volume of the process chamber.

Book ChapterDOI
31 Aug 2016
TL;DR: A summary of the history, various characteristics as well as the main applications of plasma enhanced chemical vapor deposition (PECVD) can be found in this paper, where a comparison of this technique with other techniques is provided.
Abstract: Chemical vapor deposition (CVD) is a technique for the fabrication of thin films of polymeric materials, which has successfully overcome some of the issues faced by wet chemical fabrication and other deposition methods. There are many hybrid techni‐ ques, which arise from CVD and are constantly evolving in order to modify the properties of the fabricated thin films. Amongst them, plasma enhanced chemical vapor deposition (PECVD) is a technique that can extend the applicability of the method for various precursors, reactive organic and inorganic materials as well as inert materials. Organic/inorganic monomers, which are used as precursors in the PECVD technique, undergo disintegration and radical polymerization while exposed to a high-energy plasma stream, followed by thin film deposition. In this chapter, we have provided a summary of the history, various characteristics as well as the main applications of PECVD. By demonstrating the advantages and disadvantages of PECVD, we have provided a comparison of this technique with other techniques. PECVD, like any other techniques, still suffers from some restrictions, such as selection of appropriate monomers, or suitable inlet instrument. However, the remarkable properties of this technique and variety of possible applications make it an area of interest for research‐ ers, and offers potential for many future developments.

Journal ArticleDOI
TL;DR: In this article, a composite TiO 2 nanotubes/boron-doped diamond electrodes were deposited using Microwave Plasma Enhanced Chemical Vapor Deposition resulting in the improved electrochemical performance.

Journal ArticleDOI
TL;DR: In this paper, a high quality SiO 2 deposition process using a plasma enhanced chemical vapor deposition system has been developed for the gate insulator process of normally-off recessed-gate AlGaN/GaN metal-oxide-semiconductor-heterostructure field effect transistors (MOS-HFETs).
Abstract: A high quality SiO 2 deposition process using a plasma enhanced chemical vapor deposition system has been developed for the gate insulator process of normally-off recessed-gate AlGaN/GaN metal–oxide–semiconductor-heterostructure field-effect transistors (MOS-HFETs). SiO 2 films were deposited by using SiH 4 and N 2 O mixtures as reactant gases. The breakdown field increased with increasing the N 2 O flow rate. The optimum SiH 4 /N 2 O ratio was 0.05, which resulted in a maximum breakdown field of 11 MV/cm for the SiO 2 film deposited on recessed GaN surface. The deposition conditions were optimized as follows; a gas flow rate of SiH 4 /N 2 O (=27/540 sccm), a source RF power of 100 W, a pressure of 2 Torr, and a deposition temperature of 350 °C. A fabricated normally-off MOS-HFET exhibited a threshold voltage of 3.2 V, a specific on-resistance of 4.46 mΩ cm 2 , and a breakdown voltage of 810 V.

Journal ArticleDOI
TL;DR: In this article, the authors studied the influence of the backchannel passivation layer (BPL) on the ambient stability of amorphous indium-zinc-tin-oxide thin-film transistors (a-IZTO TFTs), in which atomic layer deposited (ALD) Al2O3 films and plasmaenhanced chemical vapor deposited (PECVD) SiO2 films were separately used to be the channel passivation layers.
Abstract: We studied the influence of the backchannel passivation layer (BPL) on the ambient stability of amorphous indium-zinc-tin-oxide thin-film transistors (a-IZTO TFTs), in which atomic layer deposited (ALD) Al2O3 films and plasma-enhanced chemical vapor deposited (PECVD) SiO2 films were separately used to be the channel passivation layers. It was observed that the BPL deposition process strongly affects device performance and stability. From the results of the extracted activation energy (Eact), the Al2O3 passivation layer can reduce the trap density in localized tail states, which improves the mobility of a-IZTO TFTs. Compared with the SiO2 passivation layer, the Al2O3 passivation process effectively suppresses H injection into the a-IZTO channel layer underneath with secondary ion mass spectrometer analysis. In addition, it is found that the a-IZTO TFT with the Al2O3 passivation layer can enhance resistance against negative bias illumination stress (NBIS), making it reliable for realistic operation in flat panel displays.

Journal ArticleDOI
TL;DR: In this paper, an intrinsic thin layer (HIT) solar cell based on Si nanowire (SiNW) core-shell structures is proposed to enhance light absorption. And the SiNWs are fabricated by a wet etching technique, and the heterojunction is formed using an optimized low-temperature plasma enhanced chemical vapor deposition (PECVD) process at 200 °C.
Abstract: Heterojunction with intrinsic thin layer (HIT) solar cells are still costly due to the use of silicon wafers that contribute to ∼30% of the final module cost. To reduce the costs, thinner wafers can be used but to the detriment of the optical absorption. This loss has to be compensated by an efficient light trapping scheme. In this paper we study HIT devices based on Si nanowire (SiNW) core–shell structures to enhance light absorption. The SiNWs are fabricated by a wet etching technique, and the heterojunction is formed using an optimized low-temperature plasma enhanced chemical vapor deposition (PECVD) process at 200 °C. The solar cells are characterized via carrier lifetime and electron beam induced current (EBIC) measurements to understand their electrical properties at nanoscale. The impact of the SiNW length on the cell performance is also investigated. The solar cells show a good performance reaching average fill factor of 81%, Voc of 0.525 V, and Jsc of 29.27 mA/cm2 giving rise to an average effici...

Journal ArticleDOI
TL;DR: In this article, a green approach was adopted by using a low-cost, non-toxic, sustainable and environmentally-friendly natural organic material, M. alternifolia essential oil (containing a hydrocarbon monomer), as the precursor.
Abstract: Vertical standing graphene sheets are highly desirable in energy storage applications because without π–π stacking their surface can be fully utilized. In this work, vertical graphene nanosheets (VGS) are successfully synthesized on nickel foam via a simple plasma enhanced chemical vapor deposition (PECVD) technique. Instead of hazardous and costly hydrocarbon gases, we adopt a green approach by using a low-cost, non-toxic, sustainable and environmentally-friendly natural organic material, M. alternifolia essential oil (containing a hydrocarbon monomer), as the precursor. The 4 minute deposition duration results in multilayered horizontal graphene (h-GS) with sparsely distributed vertical graphene while 16 minute deposition leads to fully covered vertical graphene nanosheets (f-VGS). To demonstrate their application as a conductive and high-surface-area substrate in energy storage, MnO2 thin films are hydrothermal grown to form MnO2@f-VGS core–shell structure and MnO2@h-GS. The core@shell electrode of MnO2@f-VGS demonstrates a significantly higher specific capacitance of 203 F g−1 at a current density of 10 A g−1 compared to that of 82 F g−1 at 10 A g−1 shown by MnO2@h-GS. Moreover, the assembled full supercapacitors containing MnO2@f-VGS‖active carbon as electrodes can deliver a reasonably high specific capacitance of 250 F g−1 at 2 A g−1. Such f-VGS may have application also in battery and fuel cell electrodes.

Journal ArticleDOI
TL;DR: The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications.
Abstract: High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm(3), were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail.

Journal ArticleDOI
TL;DR: In this paper, three dimensional vertical graphene (3DVG) is synthesized via plasma enhanced chemical vapor deposition (PECVD) using cost-effective and environment-friendly natural oil of M. alternifolia as precursor.

Journal ArticleDOI
TL;DR: In this paper, nitrogen-doped diamond-like carbon (N-DLC) films were deposited by plasma-enhanced chemical vapor deposition using CH4, N2, and Ar, and investigated the effects of N doping on the structure and the electrical, mechanical, and optical properties of the N-DCL films.
Abstract: We have deposited nitrogen-doped diamond-like carbon (N-DLC) films by plasma-enhanced chemical vapor deposition using CH4, N2, and Ar, and investigated the effects of N doping on the structure and the electrical, mechanical, and optical properties of the N-DLC films. We fabricated undoped DLC/p-type Si and N-DLC/p-type Si heterojunctions and examined the current–voltage characteristics of the heterojunctions. When the N2 flow ratio was increased from 0 to 3.64%, the resistivity markedly decreased from the order of 105 Ωcm to that of 10−2 Ωcm and the internal stress also decreased. The resistivity gradually increased with increasing N2 flow ratio from 3.64 to 13.6%, and then it decreased at a N2 flow ratio of 13.6%. These behaviors can be explained in terms of the clustering of sp2 carbons and the formation of sp3C–N, sp2C=N, sp1C≡N, and C–H n bonds. The rectification ratio of the heterojunction using the N-DLC film prepared at 3.64% was 35.8 at ±0.5 V.

Journal ArticleDOI
TL;DR: In this paper, the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD) is presented.
Abstract: This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

Journal ArticleDOI
TL;DR: In this article, the influence of N2 concentration (1% − 8%) in CH4/H_2/N_2 plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated.
Abstract: The influence of N2 concentration (1%–8%) in CH_4/H_2/N_2 plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH_4/H_2 plasma contained micron-sized diamond grains, the films grown using CH_4/H_2/(4%)N_2 plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

Journal ArticleDOI
TL;DR: This study relates the possibility to obtain flame retardant properties on PolyCarbonate and PolyAmide-6 thanks to their covering by a 5 μm thick coating, i.e. very thin films for this field of application, yet quite substantial for plasma processes.
Abstract: An innovative approach to produce high-performance and halogen-free flame-retardant thin films at atmospheric pressure is reported. PDMS-based coatings with embedded dopant-rich polyphosphates are elaborated thanks to a straightforward approach, using an atmospheric pressure dielectric barrier discharge (AP-DBD). Deposition conditions have been tailored to elaborate various thin films that can match the fire performance requirements. Morphology, chemical composition, and structure are investigated, and results show that the coatings performances are increased by taking advantage of the synergistic effect of P and Si flame retardant compounds. More specifically, this study relates the possibility to obtain flame retardant properties on PolyCarbonate and PolyAmide-6 thanks to their covering by a 5 μm thick coating, i.e. very thin films for this field of application, yet quite substantial for plasma processes. Hence, this approach enables deposition of flame retardant coatings onto different polymer substrat...

Journal ArticleDOI
TL;DR: The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated and enables the strain relaxation to suppress the probability of Auger recombination.
Abstract: The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC(1-x)) buffer is demonstrated. The a-SixC(1-x) buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC(1-x) buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC(1-x) buffer. The C-rich SixC(1-x) favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC(1-x) buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC(1-x) buffer, the device deposited on C-rich SixC(1-x) buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively.

Journal ArticleDOI
Xiaowan Dai1, Tao Chen1, Hongkun Cai1, Hongbin Wen1, Yun Sun1 
TL;DR: This work optimized the structure of the bottom of the pyramids via acid isotropic etching (AIE) method with mixed acid solution to ensure that the silicon wafer is fully covered by the PEDOT PSS, and decreased the rear surface recombination rate, thus increasing the long wavelength response.
Abstract: Poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) is widely applied in organic-photoelectronic devices due to its excellent transparency and conductivity. However, when it is used in the organic-silicon heterojunction solar cells with traditional pyramid texturing surface, the device performance is limited by the contact between the PEDOT:PSS and silicon wafer at the bottom of the pyramids. We optimized the structure of the bottom of the pyramids via acid isotropic etching (AIE) method with mixed acid solution to ensure that the silicon wafer is fully covered by the PEDOT:PSS. In addition, hydrogenated amorphous silicon thin films were deposited with PEVCD method as the passivation and back surface field (BSF) layer to decrease the rear surface recombination rate, thus increasing the long wavelength response. Finally, a power conversion efficiency of 13.78% was achieved after depositing MoO3 on the front of the device as the antireflection layer.

Journal ArticleDOI
TL;DR: In this article, an asymmetrical bipolar pulsed-DC PECVD system and an active screen that functioned as an additional cathode was used for diamond-like carbon (DLC) deposition.
Abstract: Deposition of hard and adherent diamond-like carbon (DLC) coatings employing an asymmetrical bipolar pulsed-DC PECVD system and an active screen that functioned as an additional cathode is presented. This novel system represents a step forward for thin coating growth by using much lower pressure (about 0.1 Pa) in an almost collision-less regime, with higher plasma density than the conventional PECVD system. In order to overcome the low adherence of DLC coatings to 316 stainless steel substrates, a thin amorphous silicon interlayer was deposited as an interface. The interlayer was synthesized using silane as precursor gas and varying the applied DC bias voltage during deposition. The DLC coatings were produced using acetylene gas as the precursor. Both the amorphous silicon interlayers and the DLC films were analyzed according to their microstructural, mechanical, and tribological properties as a function of the applied substrate bias voltage. The film's atomic arrangements were estimated by means of Raman spectroscopy, while the hydrogen content was determined via elastic recoil detection analysis (ERDA). The nanoindentation experiments helped determine the films' hardness and other nanomechanical parameters. The friction coefficient was determined using a tribometer in unlubricated sliding friction experiments, while the adhesion of the films was determined through a classic Rockwell C indentation test. The results showed an improvement of the properties and the adherence of the DLC coatings deposited using a modified experimental setup and an amorphous silicon interlayer. The composition, microstructure, and mechanical and tribological properties of the films were dependent on the applied DC bias voltage and, consequently, on the intensity of ion bombardment during coating growth. These results suggest that a combination of a modified pulsed-DC PECVD system, the use of an active screen as an additional cathode, and acetylene as a precursor gas for growing DLC films may represent a new and useful alternative for mechanical and tribological applications.

Journal ArticleDOI
TL;DR: In this paper, the effect of deposition temperature on the physical and optical properties of the prepared Si3N4 films was investigated and it was found that the refractive index and film thickness were increased in accordance with an increase in the deposition temperature.
Abstract: Silicon nitride is a versatile material since many decades due to its compatibility with conventional fabrication technology. Other than its potential applications in microelectronics, this material has been regarded as an antireflection coating in solar cells. In this paper, we present the fabrication and characterization of silicon nitride (Si3N4) films deposited at 750, 800 and 850 °C using atmospheric chemical vapor deposition. We have investigated the effect of deposition temperature on the physical and optical properties of the prepared films. The refractive index and film thickness were found to be increased in accordance with an increase in the deposition temperature. Fourier transform infrared (FTIR) spectroscopy analysis showed the Si–N–Si stretching peak at 917 cm−1 further, the full width at half maxima and Si–N–Si peak position showed their dependency on the deposition temperature. Finally, the optimal reflectance was observed through Si3N4 film deposited at temperature 800 °C which confirms its suitability as an antireflection coating.

Journal ArticleDOI
TL;DR: In this paper, the porosity of the epi-PECVD/crystalline silicon interface can be tuned by controlling the hydrogen accumulation there, and the first PECVD epitaxial silicon solar cells transferred on glass are characterized.
Abstract: Fabrication of high-quality ultrathin monocrystalline silicon layers and their transfer to low-cost substrates are key steps for flexible electronics and photovoltaics. In this work, we demonstrate a low-temperature and low-cost process for ultrathin silicon solar cells. By using standard plasma-enhanced chemical vapor deposition (PECVD), we grow high-quality epitaxial silicon layers (epi-PECVD) from SiH4/H2 gas mixtures at 175 °C. Using secondary ion mass spectrometry and transmission electron microscopy, we show that the porosity of the epi-PECVD/crystalline silicon interface can be tuned by controlling the hydrogen accumulation there. Moreover, we demonstrate that 13–14% porosity is a threshold above which the interface becomes fragile and can easily be cleaved. Taking advantage of the H-rich interface fragility, we demonstrate the transfer of large areas (∽10 cm2) ultrathin epi-PECVD layers (0.5–5.5 µm) onto glass substrates by anodic bonding and moderate annealing (275–350 °C). The structural properties of transferred layers are assessed, and the first PECVD epitaxial silicon solar cells transferred on glass are characterized. Copyright © 2016 John Wiley & Sons, Ltd.

Journal ArticleDOI
TL;DR: In this paper, a high-temperature oxidative drop solution calorimetry measurement in molten sodium molybdate solvent at 800°C showed that these materials possess negative formation enthalpies from their crystalline constituents.
Abstract: Si–O–C-based amorphous or nanostructured materials are now relatively common and of interest for numerous electronic, optical, thermal, mechanical, nuclear, and biomedical applications. Using plasma-enhanced chemical vapor deposition (PECVD), hydrogen atoms are incorporated into the system to form SiOCH dielectric films with very low dielectric constants (k). While these low-k dielectrics exhibit chemical stability as deposited, they tend to lose hydrogen and carbon (as labile organic groups) and convert to SiO2 during thermal annealing and other fabrication processes. Therefore, knowledge of their thermodynamic properties is essential for understanding the conditions under which they can be stable. High-temperature oxidative drop solution calorimetry measurement in molten sodium molybdate solvent at 800°C showed that these materials possess negative formation enthalpies from their crystalline constituents (SiC, SiO2, C, Si) and H2. The formation enthalpies at room temperature become less exothermic with increasing carbon content and more exothermic with increasing hydrogen content. Fourier transform infrared spectroscopy (FTIR) spectroscopy examined the structure from a microscopic perspective. Different from polymer-derived ceramics with similar composition, these low-k dielectrics are mainly comprised of Si–O(C)–Si networks, and the primary configuration of carbon is methyl groups. The thermodynamic data, together with the structural analysis suggest that the conversion of sp2 carbon in the matrix to surface organic functional groups by incorporating hydrogen increases thermodynamic stability. However, the energetic stabilization by hydrogen incorporation is not enough to offset the large entropy gain upon hydrogen release, so hydrogen loss during processing at higher temperatures must be managed by kinetic rather than thermodynamic strategies.

Patent
29 Sep 2016
TL;DR: In this paper, a silicon oxide layer is deposited on a semiconductor substrate by PECVD at a temperature of less than about 200 °C and is treated with helium plasma to reduce stress of the deposited layer to an absolute value of more than 80 MPa.
Abstract: Silicon oxide layer is deposited on a semiconductor substrate by PECVD at a temperature of less than about 200 °C and is treated with helium plasma to reduce stress of the deposited layer to an absolute value of less than about 80 MPa. Plasma treatment reduces hydrogen content in the silicon oxide layer, and leads to low stress films that can also have high density and low roughness. In some embodiments, the film is deposited on a semiconductor substrate that contains one or more temperature-sensitive layers, such as layers of organic material or spin-on dielectric that cannot withstand temperatures of greater than 250 °C. In some embodiments the silicon oxide film is deposited to a thickness of between about 100 - 200 A, and is used as a hardmask layer during etching of other layers on a semiconductor substrate.