scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2017"


Journal ArticleDOI
TL;DR: Advances in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform and development strategies and the challenges in next generationresist materials are summarized and discussed.
Abstract: Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

173 citations


Journal ArticleDOI
TL;DR: In this paper, a review article addresses the recent advancements made in electron beam lithography (EBL) resists technology and describes the different lithography processes briefly and then progresses on to the parameters affecting the EBL fabric.
Abstract: The semiconductor industry has already entered the sub-10 nm region, which has led to the development of cutting-edge fabrication tools. However, there are other factors that hinder the best outcome of these tools, such as the substrate and resist materials, pre- and postfabrication processes, etc. Among the lithography techniques, electron beam lithography (EBL) is the prime choice when a job requires dimensions lower than 10–20 nm, since it can easily achieve such critical dimensions in reasonable time and effort. When obtaining pattern features in single nanometer regime, the resist material properties play an important role in determining the size. With this agenda in mind, many resists have been developed over the years suitable for attaining required resolution in lesser EBL writing time. This review article addresses the recent advancements made in EBL resists technology. It first describes the different lithography processes briefly and then progresses on to the parameters affecting the EBL fabric...

107 citations


Journal ArticleDOI
TL;DR: In this paper, the authors combined static and dynamic mechanical analysis on purpose-designed microstructures (microbending of pillar-like structures and picometer-sensitive laser Doppler vibrometry of drumlike structures) to viably and nondestructively estimate Young's modulus, Poisson's ratio, and density of materials for 2P lithography.
Abstract: Two-photon (2P) lithography shows great potential for the fabrication of three-dimensional (3-D) micro- and nanomechanical elements, for applications ranging from microelectromechanical systems to tissue engineering, by virtue of its high resolution (<100 nm) and biocompatibility of the photosensitive resists. However, there is a considerable lack of quantitative data on mechanical properties of materials for 2P lithography and of structures obtained through this technique. In this paper, we combined static and dynamic mechanical analysis on purpose-designed microstructures (microbending of pillar-like structures and picometer-sensitive laser Doppler vibrometry of drum-like structures) to viably and nondestructively estimate Young's modulus, Poisson's ratio, and density of materials for 2P lithography. This allowed us to analyze several polymeric photoresists, including acrylates and epoxy-based materials. The experiments reveal that the 2P exposure power is a key parameter to define the stiffness of the realized structures, with hyperelasticity clearly observable for high-power polymerization. In the linear elastic regime, some of the investigated materials are characterized by a quasi-linear dependence of Young's modulus on the used exposure power, a yet unknown behavior that adds a new degree of freedom to engineer complex 3-D micro- and nanomechanical elements.

86 citations


Journal ArticleDOI
TL;DR: In this paper, the Pancharatnam-Berry phase approach was used for the realization of high performance planar lenses (metalenses) in the visible spectrum, which have efficiencies as high as 86% and provide high imaging resolution.
Abstract: We present recent advances in metasurface-based photonics, which enables the realization of high performance planar lenses (metalenses) in the visible spectrum. They are enabled by a technique based on atomic layer deposition of titanium dioxide allowing for the fabrication of nanostructures with high fidelity. First, we demonstrate highly efficient metalenses with numerical aperture ${\rm{NA\,= \,0.8}}$ using the Pancharatnam-Berry phase approach. These metalenses can focus light into a diffraction-limited spot. They have efficiencies as high as 86% and provide high imaging resolution. Furthermore, by judicious design of the phase-shifting elements, we achieve a multispectral chiral metalens realized with a single metasurface layer. This chiral metalens can resolve both the chiral and spectral information of an object without the requirement of any additional optical components. Finally, we discuss the experimental realization of polarization-insensitive metalenses with NAs as high as 0.85. They are able to focus incident light to a spot as small as ∼0.64 λ with efficiencies up to 60%. Due to its straightforward and CMOS-compatible fabrication, this platform is promising for a wide range of applications ranging from camera modules, displays, laser-based imaging, microscopy, and spectroscopy to laser fabrication and lithography.

84 citations


Journal ArticleDOI
TL;DR: Results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration, and will increase the resolution, speed, and complexity in nanomaterial fabrication.
Abstract: Patterning materials efficiently at the smallest length scales is a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) and the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature s...

82 citations


Journal ArticleDOI
02 Oct 2017-ACS Nano
TL;DR: The MP-NSL method was utilized to construct 3D periodic hierarchical hybrid nanostructures such as multilevel solid/hollow nanotowers where the height and diameter of each level of each structure can be configured precisely as well as 3D concentric plasmonic nanodisk/nanorings with tunable optical properties on a variety of substrates.
Abstract: While three-dimensional (3D) configurable hierarchical nanostructures have wide ranging applications in electronics, biology, and optics, finding scalable approaches remains a challenge. We report a robust and general strategy called multiple-patterning nanosphere lithography (MP-NSL) for the fabrication of periodic 3D hierarchical nanostructures in a highly scalable and tunable manner. This nanofabrication technique exploits the selected and repeated etching of polymer nanospheres that serve as resists and that can be shaped in parallel for each processing step. The application of MP-NSL enables the fabrication of periodic, vertically aligned Si nanotubes at the wafer scale with nanometer-scale control in three dimensions including outer/inner diameters, heights/hole-depths, and pitches. The MP-NSL method was utilized to construct 3D periodic hierarchical hybrid nanostructures such as multilevel solid/hollow nanotowers where the height and diameter of each level of each structure can be configured precis...

81 citations


Journal ArticleDOI
TL;DR: Two methods of preparing hydrophilic low-fouling surface coatings through reaction of silica-nanoparticle suspensions and predeposited silicous nanoparticles with zwitterionic sulfobetaine are presented.
Abstract: The growing need to develop surfaces able to effectively resist biological fouling has resulted in the widespread investigation of nanomaterials with potential antifouling properties However, the preparation of effective antifouling coatings is limited by the availability of reactive surface functional groups and our ability to carefully control and organize chemistries at a materials’ interface Here, we present two methods of preparing hydrophilic low-fouling surface coatings through reaction of silica-nanoparticle suspensions and predeposited silica-nanoparticle films with zwitterionic sulfobetaine (SB) Silica-nanoparticle suspensions were functionalized with SB across three pH conditions and deposited as thin films via a simple spin-coating process to generate hydrophilic antifouling coatings In addition, coatings of predeposited silica nanoparticles were surface functionalized via exposure to zwitterionic solutions Quartz crystal microgravimetry with dissipation monitoring was employed as a high

75 citations


Journal ArticleDOI
TL;DR: In this paper, an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush, and resist was demonstrated, with a good lithography process window and low defectivity on various inorganic substrates.
Abstract: Initial readiness of extreme ultraviolet (EUV) patterning has been demonstrated at the 7-nm device node with the focus now shifting to driving the “effective” k1 factor and enabling the second generation of EUV patterning. In current EUV lithography, photoresist thicknesses <30 nm are required to meet resolution targets and mitigate pattern collapse. Etch budgets necessitate the reduction of underlayer thickness as well. Typical spin-on underlayers show high defectivity when reducing thickness to match thinner resist. Inorganic deposited underlayers are lower in defectivity and can potentially enable ultrathin EUV patterning stacks. However, poor resist-inorganic underlayer adhesion severely limits their use. Existing adhesion promotion techniques are found to be either ineffective or negatively affect the etch budget. Using a grafted polymer brush adhesion layer, we demonstrate an ultrathin EUV patterning stack comprised of inorganic underlayer, polymer brush, and resist. We show printing of sub-36-nm pitch features with a good lithography process window and low defectivity on various inorganic substrates, with significant improvement over existing adhesion promotion techniques. We systematically study the effect of brush composition, molecular weight, and deposition time/temperature to optimize grafting and adhesion. We also show process feasibility and extendibility through pattern transfer from the resist into typical back end stacks.

75 citations


Journal ArticleDOI
01 Nov 2017-ACS Nano
TL;DR: This work studied the transfer reliability and the achievable resolution as a function of applied temperature and force in thermal scanning probe lithography (t-SPL), and found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation.
Abstract: High-resolution lithography often involves thin resist layers which pose a challenge for pattern characterization. Direct evidence that the pattern was well-defined and can be used for device fabrication is provided if a successful pattern transfer is demonstrated. In the case of thermal scanning probe lithography (t-SPL), highest resolutions are achieved for shallow patterns. In this work, we study the transfer reliability and the achievable resolution as a function of applied temperature and force. Pattern transfer was reliable if a pattern depth of more than 3 nm was reached and the walls between the patterned lines were slightly elevated. Using this geometry as a benchmark, we studied the formation of 10–20 nm half-pitch dense lines as a function of the applied force and temperature. We found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation. At this temperature, there still is con...

59 citations


Journal ArticleDOI
TL;DR: The results presented in this work provide a means to map both optical-field-controlled electron emission and hot-electron transfer from nanoparticles via chemical transformations produced locally in lithographic materials.
Abstract: Understanding plasmon-mediated electron emission and energy transfer on the nanometer length scale is critical to controlling light–matter interactions at nanoscale dimensions. In a high-resolution lithographic material, electron emission and energy transfer lead to chemical transformations. In this work, we employ such chemical transformations in two different high-resolution electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and hydrogen silsesquioxane (HSQ), to map local electron emission and energy transfer with nanometer resolution from plasmonic nanoantennas excited by femtosecond laser pulses. We observe exposure of the electron-beam resists (both PMMA and HSQ) in regions on the surface of nanoantennas where the local field is significantly enhanced. Exposure in these regions is consistent with previously reported optical-field-controlled electron emission from plasmonic hotspots as well as earlier work on low-electron-energy scanning probe lithography. For HSQ, in addition to expo...

54 citations


Proceedings ArticleDOI
TL;DR: In this article, a local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data, and a dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage.
Abstract: Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

Journal ArticleDOI
TL;DR: In this paper, a review of application results patterned similarly to those in the semiconductor industry (for cell phone, computer processors, or memory) for nano device prototyping is presented.
Abstract: Nano device prototyping (NDP) is essential for realizing and assessing ideas as well as theories in the form of nano devices, before they can be made available in or as commercial products. In this review, application results patterned similarly to those in the semiconductor industry (for cell phone, computer processors, or memory) will be presented. For NDP, some requirements are different: thus, other technologies are employed. Currently, in NDP, for many applications direct write Gaussian vector scan electron beam lithography (EBL) is used to define the required features in organic resists on this scale. We will take a look at many application results carried out by EBL, self-organized 3D epitaxy, atomic probe microscopy (scanning tunneling microscope/atomic force microscope), and in more detail ion beam techniques. For ion beam techniques, there is a special focus on those based upon liquid metal (alloy) ion sources, as recent developments have significantly increased their applicability for NDP.

Proceedings ArticleDOI
TL;DR: In this paper, the recent progress in the elements of EUV lithography is presented and further scaling up is going on, which is expected to be implemented in the field within 2017.
Abstract: In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.

Journal ArticleDOI
TL;DR: A wafer‐scale high resolution patterning of bio‐microstructures using well‐defined silk fibroin light chain as the resist material is presented showing unprecedent performances and new opportunities in fabricating large scale biocompatible functional microstructures are provided.
Abstract: Precise patterning of biomaterials has widespread applications, including drug release, degradable implants, tissue engineering, and regenerative medicine. Patterning of protein-based microstructures using UV-photolithography has been demonstrated using protein as the resist material. The Achilles heel of existing protein-based biophotoresists is the inevitable wide molecular weight distribution during the protein extraction/regeneration process, hindering their practical uses in the semiconductor industry where reliability and repeatability are paramount. A wafer-scale high resolution patterning of bio-microstructures using well-defined silk fibroin light chain as the resist material is presented showing unprecedent performances. The lithographic and etching performance of silk fibroin light chain resists are evaluated systematically and the underlying mechanisms are thoroughly discussed. The micropatterned silk structures are tested as cellular substrates for the successful spatial guidance of fetal neural stems cells seeded on the patterned substrates. The enhanced patterning resolution, the improved etch resistance, and the inherent biocompatibility of such protein-based photoresist provide new opportunities in fabricating large scale biocompatible functional microstructures.

Journal ArticleDOI
TL;DR: In this paper, a method to develop Ch3NH3PbI3 thin films possessing high-resolution patterning, using lithography processing with hydrofluoroether solvents, was presented.
Abstract: Organohalide lead perovskite (CH3NH3PbI3) is a novel material with promising applicability for visible light photo-detectors. The ability to develop perovskite photo-detector devices using a low temperature solution based process allows straightforward combinations with other materials, including traditional crystalline semiconductors, with minimal contributions to cost and process complexity. There is, however, a need for high-resolution structuring of the perovskite film to minimize cross-talk between neighboring detectors (pixels) for imaging purposes. This work presents a method to develop Ch3NH3PbI3 thin films possessing high-resolution patterning, using lithography processing with hydrofluoroether solvents. The results presented herein confirm that, unlike the majority of traditional solvents utilized in conventional photolithography, hydrofluoroethers do not adversely affect CH3NH3PbI3 films, enabling photolithographic processing. Transfer of the resist pattern is achieved using a SF6 plasma functionalization process which extracts iodine and organic components from the film, converting the perovskite into PbF2. This work also demonstrates that isolation of perovskite photodetecting pixels with a 20 μm-wide stripe of PbF2 leads to a 4.5-fold reduction in the cross-talk between neighboring pixels. It is believed that our method will facilitate simple monolithic integration of perovskite photodiodes to the silicon backplane chip utilized in active-pixel sensor and charge-coupled device applications.

Journal ArticleDOI
TL;DR: In this article, a set of 33 carbon nanotube field effect transistors (CNTFETs) were fabricated by patterning of Pt contacts using a combination of electron beam induced deposition and area-selective atomic layer deposition (ALD).
Abstract: Carbon nanotubes are considered as alternative channel material for future transistors, but several challenges exist for reliable fabrication of these devices. In this work, carbon nanotube field-effect transistors (CNTFETs) were fabricated by patterning of Pt contacts using a combination of electron beam induced deposition and area-selective atomic layer deposition (ALD). This bottom-up technique eliminates compatibility issues caused by the use of resist films and lift-off steps. Electrical characterization of a set of 33 CNTFETs reveals that using this direct-write ALD process for Pt patterning yields improved contacts as compared to evaporated Pt, most likely due to improved wettability of the contacts on the carbon nanotube. Moreover, these CNTFETs can be characterized as unipolar p-type transistors with a very low off-state current.

Journal ArticleDOI
TL;DR: In this article, the authors presented a design, fabrication, and optical characterization of diffractive optical elements for compact OAM mode division demultiplexing based on optical transformations.
Abstract: The orbital angular momentum (OAM) of light has recently attracted a growing interest as a new degree of freedom in order to increase the information capacity of today’s optical networks, both for free-space and optical fiber transmission Here we present our work of design, fabrication, and optical characterization of diffractive optical elements for compact OAM mode division demultiplexing based on optical transformations Samples have been fabricated with 3D high-resolution electron beam lithography on a polymethylmethacrylate resist layer spun over a glass substrate Their high compactness and efficiency make these optical devices promising for integration into next-generation platforms for OAM modes processing in telecom applications

Proceedings ArticleDOI
Robert L. Bristol1, Marie Krysak1
TL;DR: In this article, the authors consider the problem of variations in the effective local resist sensitivity caused by feature-to-feature differences in absorbed photons and resist component counts, and model such variations as a normal distribution, rather than the CDs themselves.
Abstract: As lithography tools continue their progress in both NA and wavelength in pursuit of Moore’s law, we have reached the point where the number of features printed in a single pass can now easily surpass 1 trillion. Statistically, then, one should not be surprised to see some members of such a population exhibit fluctuations as great as 7σ. But what do these fluctuations look like? We consider the problem in terms of variations in the effective local resist sensitivity caused by feature-to-feature differences in absorbed photons and resist component counts. We model such variations as a normal distribution, rather than the CDs themselves. As the CD vs. Dose curve is generally nonlinear over large ranges, the normal distribution of the local effective sensitivity then maps to a non-normal distribution in CD. For the case of individual vias printed near the resolution limit, this results in many more such undersized or completely closed vias than one would expect from a normal distribution of the CDs. We show examples of this behavior from both EUV exposures in the fab, and ebeam exposures in the lab. For the latter, results from a simple resist with a somewhat low quencher loading produce approximately the CD variation one would expect by modeling the quencher as distributed normally in the resist.

Journal ArticleDOI
TL;DR: 3D optical security features manufactured by multistep 3D optical laser lithography are presented in this article, which are composed of a nonfluorescent 3D cross-grid scaffold and fluorescent markers, realized by an acrylate-based resist containing CdSSe-based core-shell semiconductor quantum dots.
Abstract: 3D optical security features manufactured by multistep 3D optical laser lithography are presented These microstructures are composed of a nonfluorescent 3D cross-grid scaffold and fluorescent markers, realized by an acrylate-based resist containing CdSSe-based core–shell semiconductor quantum dots, arranged onto this scaffold at will The readout of these 3D microstructures can be (exclusively) achieved by optical sectioning methods, for example, 3D confocal fluorescence laser scanning microscopy As examples, structures with five different layers of markers and one emission color and two different colors, respectively, are shown This class of deterministic 3D microstructures can be embedded into thin polymer films, can be individualized, and allows for plenty of future variations and extensions It therefore represents an interesting avenue beyond established 2D holographic or 2D fluorescent optical security features

Journal ArticleDOI
TL;DR: In this paper, an efficient and convenient method of patterning nanostructures on the cleaved facet of an optical fiber to realize a high-performance fiber-optic gas sensor is reported.
Abstract: This paper reports on an efficient and convenient method of patterning nanostructures on the cleaved facet of an optical fiber to realize a high-performance fiber-optic gas sensor. The fabrication method utilizes an ultraviolet assisted nanoimprint lithography to transfer nanoscale patterns from a pre-formed stamp to the fiber tip. The novelty of this paper lies in utilizing simpler fabrication steps with better control over angle of contact at the fiber tip, which leads to rapid and precise formation of nanostructures with well-defined features. A periodic array of polymer nanoposts are formed at the fiber tip and coated with titanium dioxide to serve as a guided mode resonant (GMR) device. A gas sensor is realized by coating the GMR structure with a thin layer of graphene oxide (GO) nanosheets. We have utilized the resonance sensitivity of the nanopatterned fiber-tip gas sensor to surrounding refractive index. The abundant functional groups available at GO provides an effective adsorption surface for gas molecules. Microscopic imaging and spectroscopic studies are conducted to illustrate the structural and optical properties, and gas-sensing performance of the sensor. Volatile organic compounds, such as ethylene and methanol, associated with crop plant health, are detected by the sensor. The sensor provides sensitivities of 0.92 and 1.37 pm/ppm for ethylene and methanol vapors, respectively, with a three-fold enhancement in sensitivity and 50% reduction in response time compared with the non-GO coated counterpart. In addition, the sensor demonstrates good stability and reproducibility, thus having a great potential in fiber-optic remote sensing applications.

Journal ArticleDOI
26 Sep 2017-ACS Nano
TL;DR: This method offers an easy way to generate an ensemble of functional ZnS nanostructure that can be arbitrarily patterned and placed in a precise way and may enable programmable design of functional chalcogenide nanostructures.
Abstract: Nanostructures of metal sulfides are conventionally prepared via chemical techniques and patterned using self-assembly This poses a considerable amount of challenge when arbitrary shapes and sizes of nanostructures are desired to be placed at precise locations Here, we describe an alternative approach of nanoscale patterning of zinc sulfide (ZnS) directly using a spin-coatable and electron beam sensitive zinc butylxanthate resist without the lift-off or etching step Time-resolved electron beam damage studies using micro-Raman and micro-FTIR spectroscopies suggest that exposure to a beam of electrons leads to quick disappearance of xanthate moieties most likely via the Chugaev elimination, and further increase of electron dose results in the appearance of ZnS, thereby making the exposed resist insoluble in organic solvents Formation of ZnS nanocrystals was confirmed by high-resolution transmission electron microscopy and selected area electron diffraction This property was exploited for the fabricatio

Journal ArticleDOI
TL;DR: In this article, the authors describe a direct e-beam lithography process for colloidal inorganic nanocrystals (NCs) which allows exposed areas to remain on the substrate while non-exposed areas are redispersed in a solvent.
Abstract: In the past couple of decades, colloidal inorganic nanocrystals (NCs) and, more specifically, semiconductor quantum dots (QDs) have emerged as crucial materials for the development of nanoscience and nanotechnology, with applications in very diverse areas such as optoelectronics and biotechnology. Films made of inorganic NCs deposited on a substrate can be patterned by e-beam lithography, altering the structure of their capping ligands and thus allowing exposed areas to remain on the substrate while non-exposed areas are redispersed in a solvent, as in a standard lift-off process. This methodology can be described as a “direct” lithography process, since the exposure is performed directly on the material of interest, in contrast with conventional lithography which uses a polymeric resist as a mask for subsequent material deposition (or etching). A few reports from the late 1990s and early 2000s used such direct lithography to fabricate electrical wires from metallic NCs. However, the poor conductivity obt...

Journal ArticleDOI
Jie Zhang1, Lin Zhang1, Lianhuan Han1, Zhao-Wu Tian1, Zhong-Qun Tian1, Dongping Zhan1 
TL;DR: Electrochemical nanoimprint lithography (ECNL) has prospective applications in the micro/nano-fabrications of semiconductors, Inheriting all the advantages of both NIL and MacEtch, it is demonstrated that using ECNL it was possible to fabricate not only erect 3D-MNS, but also complex 3D -MNS with multilevel stages with continuously curved surface profiles on a gallium arsenide wafer.
Abstract: The functional three dimensional micro-nanostructures (3D-MNS) play crucial roles in integrated and miniaturized systems because of the excellent physical, mechanical, electric and optical properties. Nanoimprint lithography (NIL) has been versatile in the fabrication of 3D-MNS by pressing thermoplastic and photocuring resists into the imprint mold. However, direct nanoimprint on the semiconductor wafer still remains a great challenge. On the other hand, considered as a competitive fabrication method for erect high-aspect 3D-MNS, metal assisted chemical etching (MacEtch) can remove the semiconductor by spontaneous corrosion reaction at the metal/semiconductor/electrolyte 3-phase interface. Moreover, it was difficult for MacEtch to fabricate multilevel or continuously curved 3D-MNS. The question of the consequences of NIL meeting the MacEtch is yet to be answered. By employing a platinum (Pt) metalized imprint mode, we demonstrated that using electrochemical nanoimprint lithography (ECNL) it was possible to fabricate not only erect 3D-MNS, but also complex 3D-MNS with multilevel stages with continuously curved surface profiles on a gallium arsenide (GaAs) wafer. A concave microlens array with an average diameter of 58.4 μm and height of 1.5 μm was obtained on a ∼1 cm2-area GaAs wafer. An 8-phase microlens array was fabricated with a minimum stage of 57 nm and machining accuracy of 2 nm, presenting an excellent optical diffraction property. Inheriting all the advantages of both NIL and MacEtch, ECNL has prospective applications in the micro/nano-fabrications of semiconductors.

Journal ArticleDOI
TL;DR: The results show that t-SPL can be a suitable method for research activities in the field of DSA, in particular for low-pitch, high-χ BCP to achieve sub-10 nm line/space patterns.
Abstract: Thermal scanning probe lithography (t-SPL) is applied to the fabrication of chemical guiding patterns for directed self-assembly (DSA) of block copolymers (BCP). The two key steps of the overall process are the accurate patterning of a poly(phthalaldehyde) resist layer of only 3.5 nm thickness, and the subsequent oxygen-plasma functionalization of an underlying neutral poly(styrene-random-methyl methacrylate) brush layer. We demonstrate that this method allows one to obtain aligned line/space patterns of poly(styrene-block-methyl methacrylate) BCP of 18.5 and 11.7 nm half-pitch. Defect-free alignment has been demonstrated over areas of tens of square micrometres. The main advantages of t-SPL are the absence of proximity effects, which enables the realization of patterns with 10 nm resolution, and its compatibility with standard DSA methods. In the brush activation step by oxygen-plasma exposure, we observe swelling of the brush. This effect is discussed in terms of the chemical reactions occurring in the exposed areas. Our results show that t-SPL can be a suitable method for research activities in the field of DSA, in particular for low-pitch, high-χ BCP to achieve sub-10 nm line/space patterns.

Proceedings ArticleDOI
TL;DR: In this article, the authors present an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case, using optimized illumination conditions and state-of-the-art negative tone resist (Inpria).
Abstract: The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

Proceedings ArticleDOI
TL;DR: In this paper, a comparison between organic resists and metal-based platforms reveals how the denser nature of the latter help containing the electron scattering in a much closer radius around the absorption event.
Abstract: Alternative photoresist platforms are being developed with the goal of meeting Resolution, Roughness and Sensitivity requirements for EUV lithography. Metal-based materials appear promising due to the high etch resistance, high absorption, and high resolution. However, the exposure mechanism of these materials is quite different from that of organic chemically amplified resists. The current electron-scattering model built into PROLITHTM X6.0 allows a direct comparison of the exposure mechanisms for different resist platforms: in particular, it is now possible to estimate the intrinsic resist uncertainty by evaluating electron, acid shot noise and spatial blurring, while forcing the photon shot noise contribution to zero. A comparison between organic resists and metal-based platforms reveals how the denser nature of the latter help containing the electron scattering in a much closer radius around the absorption event. The consequent electron-reaction (acid generation for photo-active-generator-containing organic materials, ligand dissociation for the metal-oxides) reflects the electron shot noise of the different platforms. The higher absorption combined with lower blur of the metaloxide materials seem to become of crucial importance for the 5 nm technology node and beyond.

Journal ArticleDOI
TL;DR: This work demonstrates the patterning of a thermochromic supramolecular material by thermal scanning probe lithography (t-SPL), an emerging nanofabrication technique, which allows for ultrafast indentation with a heated probe, resulting in both fluorescent and topographic nanofeatures.
Abstract: The miniaturization of nanometer-sized multicolor fluorescent features is of continuous significance for counterfeit security features, data storage, and sensors. Recent advances in engineering of stimuli-responsive supramolecular polymeric materials that respond upon exposure to heat or mechanical force by changing their fluorescence characteristics open new opportunities as functional lithographic resists. Here, we demonstrate the patterning of a thermochromic supramolecular material by thermal scanning probe lithography (t-SPL), an emerging nanofabrication technique, which allows for ultrafast indentation with a heated probe, resulting in both fluorescent and topographic nanofeatures. t-SPL indentation reveals a linear relationship between the temperature at which material softening occurs and the indentation force in the range from 200 to 500 nN. The softening temperature decreases as the heating time increases from 4 μs to 1 ms, following time–temperature superposition behavior. Our results herein co...

Proceedings ArticleDOI
TL;DR: Experimental results show CNN model can reduce CD prediction errors by 70% compared with the conventional model and make it possible to determine an appropriate model function and achieve accurate simulation.
Abstract: Lithography simulation is an essential technique for today’s semiconductor manufacturing process. In order to calculate an entire chip in realistic time, compact resist model is commonly used. The model is established for faster calculation. To have accurate compact resist model, it is necessary to fix a complicated non-linear model function. However, it is difficult to decide an appropriate function manually because there are many options. This paper proposes a new compact resist model using CNN (Convolutional Neural Networks) which is one of deep learning techniques. CNN model makes it possible to determine an appropriate model function and achieve accurate simulation. Experimental results show CNN model can reduce CD prediction errors by 70% compared with the conventional model.

Journal ArticleDOI
TL;DR: It is shown that simple organic molecules, e.g. alcohols, condensed to form thin-films at low temperature demonstrate resist-like capabilities for EBL applications and beyond.
Abstract: Electron-beam lithography (EBL) is the backbone technology for patterning nanostructures and manufacturing nanodevices. It involves processing and handling synthetic resins in several steps, each requiring optimization and dedicated instrumentation in cleanroom environments. Here, we show that simple organic molecules, e.g. alcohols, condensed to form thin-films at low temperature demonstrate resist-like capabilities for EBL applications and beyond. The entire lithographic process takes place in a single instrument, and avoids exposing users to chemicals and the need of cleanrooms. Unlike EBL that requires large samples with optically flat surfaces, we patterned on fragile membranes only 5 nm-thin, and 2 × 2 mm2 diamond samples. We created patterns on the nanometer to sub-millimeter scale, as well as three-dimensional structures by stacking layers of frozen organic molecules. Finally, using plasma etching, the organic ice resist (OIR) patterns are used to structure the underlying material, and thus enabl...

Journal ArticleDOI
TL;DR: Wang et al. as discussed by the authors conceived a green resist for all-water-based photo/electron lithography at submicron resolution, obtaining the egg white simply from chicken egg without additional complex purification steps.
Abstract: Complex lithographic steps and the use of toxic chemicals in these processes are in conflict with a sustainable human society. Development of new inexpensive and green resist, simple alternative procedures, and nontoxic solvents is the key to move recyclable micro/nanofabrication from laboratory level to industrial application in large scale. Herein, precise control on protein fragmentation/aggregation upon photo/electron irradiation is conceived into egg white-based green resist for all-water-based photo/electron lithography at submicron resolution. This study acquires the egg white simply from chicken egg without additional complex purification steps. Photolithography and electron-beam direct writing on spin-coated egg white layer can generate geometrically complex micro/nanopatterns at a low irradiation dose of 3000 and 1500 µC cm−2 for effective positive and negative pattern, respectively. With further developing the patterned resist in chemical etching system, the resist pattern can be transferred onto underlying Si/SiO2/Au/Cu substrates with good fidelity and one egg can efficiently process five objects with 2 in. in diameter, demonstrating its practical implication in spatially definable micro/nanoprocessing of materials.