scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 2003"


Journal ArticleDOI
TL;DR: These analyses identified the MEMS component materials, gold, silicon nitride, silicon dioxide, SU-8(TM), and silicon as biocompatible, with gold and silicon showing reduced biofouling.

596 citations


Journal ArticleDOI
TL;DR: In this article, pinpoint and bulk electrochemical methods for removing oxygen from solid SiO2 in a molten CaCl2 electrolyte at 850 °C are presented. But the method is not applicable to a wide variety of insulating materials, provided that the electrolyte dissolves the appropriate constituent ion(s) of the material.
Abstract: Silicon dioxide (SiO2) is conventionally reduced to silicon by carbothermal reduction, in which the oxygen is removed by a heterogeneous–homogeneous reaction sequence at approximately 1,700 °C. Here we report pinpoint and bulk electrochemical methods for removing oxygen from solid SiO2 in a molten CaCl2 electrolyte at 850 °C. This approach involves a 'contacting electrode', in which a metal wire supplies electrons to a selected region of the insulating SiO2. Bulk reduction of SiO2 is possible by increasing the number of contacting points. The same method was also demonstrated with molten LiCl-KCl-CaCl2 at 500 °C. The novelty and relative simplicity of this method might lead to new processes in silicon semiconductor technology, as well as in high-purity silicon production. The methodology may be applicable to electrochemical processing of a wide variety of insulating materials, provided that the electrolyte dissolves the appropriate constituent ion(s) of the material.

351 citations


Journal ArticleDOI
TL;DR: Investigation of the structure of Fn adsorbed onto mica, silica, and methylated silica surfaces shows that surface physical properties influence the molecular structure of fibronectin on adsorption, which could provide useful information in understanding surface-induced in vivo responses.
Abstract: Human plasma fibronectin (Fn) is a large flexible protein stabilized by intermolecular ionic interactions forming a compact structure. On altering solution conditions, the structure can revert to a more expanded state, thereby exposing previously hidden domains (e.g., cell-binding sites). Electron microscopy images of Fn air-sprayed onto mica surfaces show elongated protein structures, indicating a surface-induced structural change. This makes it interesting to investigate the influence of surface properties on the structure of adsorbed Fn. We have used intermittent-contact Atomic Force Microscopy to investigate the structure of Fn adsorbed onto mica, silica, and methylated silica surfaces. We observed that on silica surfaces, which is hydrophilic, most (70%) of the molecules had an elongated structure with partial intramolecular chain interactions, compare to molecules adsorbed on hydrophobic, methylated surfaces, where a compact structure predominated (70%). On mica surfaces, both compact and elongated protein structures were observed, with a slight preference for the elongated form (53%). Results show that surface physical properties influence the molecular structure of fibronectin on adsorption, which could provide useful information in understanding surface-induced in vivo responses.

212 citations


Journal ArticleDOI
TL;DR: In this paper, the leakage current of the SiO2 layer formed with 61 wt'% HNO3 at its boiling temperature of 113'°C has a 1.3 nm thickness with a considerably high density leakage current.
Abstract: Ultrathin silicon dioxide (SiO2) layers with excellent electrical characteristics can be formed using the nitric acid oxidation of Si (NAOS) method, i.e., by immersion of Si in nitric acid (HNO3) solutions. The SiO2 layer formed with 61 wt % HNO3 at its boiling temperature of 113 °C has a 1.3 nm thickness with a considerably high density leakage current. When the SiO2 layer is formed in 68 wt % HNO3 (i.e., azeotropic mixture with water), on the other hand, the leakage current density (e.g., 1.5 A/cm2 at the forward gate bias, VG, of 1 V) becomes as low as that of thermally grown SiO2 layers, in spite of the nearly identical SiO2 thickness of 1.4 nm. Due to the relatively low leakage current density of the NAOS oxide layer, capacitance–voltage (C–V) curves can be measured in spite of the ultrathin oxide thickness. However, a hump is present in the C–V curve, indicating the presence of high-density interface states. Fourier transformed infrared absorption measurements show that the atomic density of the SiO...

210 citations


Journal ArticleDOI
Le Zeng1
TL;DR: The batch strength testing procedure introduced in this study can provide a simple and quick evaluation of granulate strength in a wet status and can prepare granulated Fe-Si binary oxide adsorbents for column adsorption of arsenic from water.

207 citations


Journal ArticleDOI
TL;DR: In this paper, the conductivity of polycrystalline CsH2PO4/silica composite was measured in the temperature range from 150 to 250 °C under a humid condition.

191 citations


Journal ArticleDOI
TL;DR: The optimization of the surface functionalization of flat thermal silicon oxide by silanes was investigated and several rehydroxylation treatments that proved their efficiency on dehydroxylated fumed silica did not lead to any noticeable improvement on thermal silicon dioxide.

139 citations


Journal ArticleDOI
TL;DR: In this article, two kinds of hydrophobic valves in microfluidic applications were presented, one is based on special geometrical designs and chemical modification for silicon dioxide and glass microchannels.
Abstract: Fluid–surface interactions can become dominant in microfluidics, which is a central technology in a number of miniaturized systems for chemical, biological and medical applications. In this paper, two kinds of hydrophobic valves in microfluidic applications were presented. One is based on special geometrical designs and chemical modification for silicon dioxide and glass microchannels. Silicon dioxide and Pyrex glass surfaces, which are hydrophilic originally, are modified with octadecyltrichlorosilane (OTS) self-assembled monolayers (SAMs) to be hydrophobic, with the contact angles up to ∼102 and 103°, respectively, for water. The formation of OTS SAMs takes

124 citations


Journal ArticleDOI
TL;DR: In this article, the problems in the preparation of high-purity silicon for solar cells were addressed. But, the main focus of the paper was on the reduction of volatile silicon compounds, refining of metallurgical-grade silicon, reduction of silicon fluorides, and reduction of polysilicon dioxide.
Abstract: This article addresses the problems in the preparation of high-purity silicon for solar cells. The growing application field of silicon solar cells requires a substantial reduction in the cost of semiconductor-grade silicon, which is currently produced by the classical trichlorosilane process. Here, we analyze alternative processes for the preparation of solar-grade silicon: the reduction of volatile silicon compounds, refining of metallurgical-grade silicon, reduction of silicon fluorides, and reduction of silicon dioxide. We believe that carbothermal reduction followed by the refining of melted silicon is the most attractive process from the viewpoint of manufacturing cost.

107 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of annealing in diluted oxygen versus inert environment on the structural and electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals fabricated by very low-energy silicon implantation (1 keV) is reported.
Abstract: The effect of annealing in diluted oxygen versus inert environment on the structural and electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals fabricated by very low-energy silicon implantation (1 keV) is reported. Annealing in diluted oxygen increases the thickness of the control oxide, improves the integrity of the oxide and narrows the size distribution of the nanocrystals without affecting significantly their mean size (∼2 nm). Strong charge storage effects at low gate voltages and enhanced charge retention times are observed through electrical measurements of metal-oxide-semiconductor capacitors. These results indicate that a combination of low-energy silicon implants and annealing in diluted oxygen allows for the fabrication of improved low-voltage nonvolatile memory devices.

102 citations


Journal ArticleDOI
TL;DR: Analysis of the annular substructure of demosponge biosilica spicules reveals that the deposited material is nanoparticulate, with a mean particle diameter of 74+/-13 nm, and the heirarchical organization of these nanoparticles is biologically unique.

Journal ArticleDOI
TL;DR: Spatial patterning of thin polyacrylamide films bonded to self-assembled monolayers on silica microchannels is described as a means for manipulating cell-adhesion and electroosmotic properties in microchips.
Abstract: Spatial patterning of thin polyacrylamide films bonded to self-assembled monolayers on silica microchannels is described as a means for manipulating cell-adhesion and electroosmotic properties in microchips. Streaming potential measurements indicate that the zeta potential is reduced by at least two orders of magnitude at biological pH, and the adhesion of several kinds of cells is reduced by 80–100%. Results are shown for cover slides and in wet-etched silica microchannels. Because the polyacrylamide film is thin and transparent, this film is consistent with optical manipulation of cells and detection of cell contents. The spatial patterning technique is straightforward and has the potential to aid on-chip analysis of single adherent cells.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the spectroscopic properties of erbium-doped silicon dioxide, bismuth oxide, and sodium oxide glasses based on Judd-Ofelt analysis for absorption spectra, bandwidth analysis for emission spectra and lifetime measurements of the 4I13/2 level of Er3+.
Abstract: The spectroscopic properties of erbium-doped silicon dioxide, bismuth oxide, and sodium oxide glasses are investigated based on Judd–Ofelt analysis for absorption spectra, bandwidth analysis for emission spectra, and lifetime measurements of the 4I13/2 level of Er3+. The effects of bismuth oxide on these three optical parameters are discussed in terms of the local basic nature of the glass, of variations in ligand fields about Er3+ sites, and of the phonon energy and the refractive index of the glass host, respectively. The data obtained suggest that bismuth oxide does not have a promising composition for use with a broadband erbium-doped fiber amplifier.

Journal ArticleDOI
TL;DR: In this paper, three silicon nitride materials were exposed to dry oxygen flowing at 0.44 cm/s at temperatures between 1200 and 1400 C. Reaction kinetics were measured with a continuously recording microbalance.
Abstract: Three silicon nitride materials were exposed to dry oxygen flowing at 0.44 cm/s at temperatures between 1200 and 1400 C. Reaction kinetics were measured with a continuously recording microbalance. Parabolic kinetics were observed. When the same materials were exposed to a 50% H2O - 50% O2 gas mixture flowing at 4.4 cm/s, all three types exhibited paralinear kinetics. The material is oxidized by water vapor to form solid silica. The protective silica is in turn volatilized by water vapor to form primarily gaseous Si(OH)4. Nonlinear least squares analysis and a paralinear kinetic model were used to determine both parabolic and linear rate constants from the kinetic data. Volatilization of the protective silica scale can result in accelerated consumption of Si3N4. Recession rates under conditions more representative of actual combustors are compared to the furnace data.

Journal ArticleDOI
TL;DR: A new active material for the treatment of uranium-contaminated groundwater using permeable reactive barriers has been developed, called PANSIL, is an example of a tailored ligand system that selectively removes a contaminant from solution.
Abstract: A new active material for the treatment of uranium-contaminated groundwater using permeable reactive barriers has been developed. This material, called PANSIL, is an example of a tailored ligand system that selectively removes a contaminant from solution. The active medium in PANSIL is a polyacryloamidoxime resin derived from polyacrylonitrile, which is deposited from solution onto the surface of quartz sand to form a thin film coating. PANSIL is highly effective at sequestering UO2(2+) from solution when the pH is between about 5 and 8 and can preferentially sequester UO2(2+) from solutions that are typical of the groundwater from a mine tailings site, due to the stability of the polyacryloamidoxime uranyl complex formed. Uranium sequestration capacity will depend on the surface area of the sand that is resin coated, but in the batch of PANSIL tested (<2% resin by weight), it exceeds 4000 mg of UO2 per kg of PANSIL at pH 4.5 when the dissolved UO2(2+) concentration is greaterthan 300 mg/L. PANSIL largely retains the permeability and strength of the sand employed and therefore has suitable engineering properties for permeable reactive barrier applications.

Journal ArticleDOI
TL;DR: In this paper, a miniature twin-fuel cell was fabricated in series using two membrane-electrode-assemblies sandwiched between two silicon substrates in which electric current, reactant, and product flow were made on the same plane.

Patent
02 Dec 2003
TL;DR: In this paper, a process in which a vaporisable silicon compound and a vaporizable titanium compound are mixed corresponding to the desired ratio of SiO2 and TiO2 in the product, are vaporized at temperatures of 200°C or less, and conveyed by means of an inert gas stream together with hydrogen and air or with oxygen-enriched air to the central pipe (core) of a known burner, the reaction mixture is ignited at the mouth of the burner and introduced together with secondary air, is combusted in a cooled flame pipe, following which the titanium dioxide
Abstract: Powder consisting of particles with a core of titanium dioxide and a coating of silicon dioxide, which powder has a content of silicon dioxide of between 0.5 and 40 wt.%, a BET surface of between 5 and 300 m2/g, and which consists of primary particles that have a coating of silicon dioxide and a core of titanium dioxide. The powder is produced according to a process in which a vaporisable silicon compound and a vaporisable titanium compound are mixed corresponding to the subsequently desired ratio of SiO2 and TiO2 in the product, are vaporised at temperatures of 200°C or less, and conveyed by means of an inert gas stream together with hydrogen and air or with oxygen-enriched air to the central pipe (core) of a known burner, the reaction mixture is ignited at the mouth of the burner and introduced together with secondary air, is combusted in a cooled flame pipe, following which the titanium dioxide powder coated with silicon dioxide is separated from the gaseous reaction products and if necessary is freed in moist air from adhering hydrogen chloride, the ratio of primary air to secondary air being greater than 0.3, the ratio of core hydrogen to secondary air being greater than 1, and the ratio of titanium dioxide precursor to secondary air being greater than 0.5. Sunscreen agent containing the powder in an amount of between 0.01 and 25 wt.%.

Journal ArticleDOI
TL;DR: In this article, the authors systematically studied the support materials for chemical vapor deposition of single-wall carbon nanotubes (SWNTs) using X-ray diffraction patterns suggested that the catalyst particle sizes were kept small on these aluminum-based support materials.

Patent
21 Apr 2003
TL;DR: In this article, two gaseous precursors are fed to the deposition chamber which collectively comprise silicon, an oxidizer comprising oxygen and dopant which become part of the deposited doped silicon dioxide.
Abstract: A substrate is positioned within a deposition chamber. At least two gaseous precursors are fed to the chamber which collectively comprise silicon, an oxidizer comprising oxygen and dopant which become part of the deposited doped silicon dioxide. The feeding is over at least two different time periods and under conditions effective to deposit a doped silicon dioxide layer on the substrate. The time periods and conditions are characterized by some period of time when one of said gaseous precursors comprising said dopant is flowed to the chamber in the substantial absence of flowing any of said oxidizer precursor. In one implementation, the time periods and conditions are effective to at least initially deposit a greater quantity of doped silicon dioxide within at least some gaps on the substrate as compared to any doped silicon dioxide deposited atop substrate structure which define said gaps.

Journal ArticleDOI
TL;DR: In this paper, a supramolecular template for a one-pot synthesis of novel noble metal or complex ion containing nanocomposite materials in the form of a film and monolith is used.
Abstract: A lyotropic AgNO3, HAuCl4 and H2PtCl6–silica liquid crystalline (LC) phase is used as a supramolecular template for a one-pot synthesis of novel noble metal or complex ion containing nanocomposite materials in the form of a film and monolith. In these structures, Ag+, AuCl4− and PtCl62− ions interact with the head group of an oligo(ethylene oxide) type non-ionic surfactant (C12H25(CH2CH2O)10OH, denoted as C12EO10) assembly that are embedded within the channels of hexagonal mesostructured silica materials. A chemical and/or thermal reduction of the metal or complex ions produces nanoparticles of these metals in the mesoporous channels and the void spaces of the silica. The LC mesophase of H2O∶X∶HNO3∶C12EO10, (where X is AgNO3, HAuCl4 and H2PtCl6), and nanocomposite silica materials of meso-SiO2–C12EO10–X and meso-SiO2–C12EO10–M (M is the Ag, Au and Pt nanoparticles) have been investigated using polarised optical microscopy (POM), powder X-ray diffraction (PXRD), transmission electron microscopy (TEM), nuclear magnetic resonance (NMR), Fourier transform infrared (FTIR), Fourier transform (FT) Raman and UV-Vis absorption spectroscopy. Collectively the results indicate that the LC phase of a 50 w/w% H2O∶C12EO10 is stable upon mixing with AgNO3, HAuCl4 and H2PtCl6 salts and/or acids. The metal ions or complex ions are distributed inside the channels of the mesoporous silica materials at low concentrations and may be converted into metal nanoparticles within the channels by a chemical and/or thermal reduction process. The metal nanoparticles have a broad size distribution where the platinum and silver particles are very small (typically 2–6 nm) and the gold particles are much larger (typically 5–30 nm).

Journal ArticleDOI
TL;DR: In this article, the use of mixed abrasive slurries (MAS) containing alumina and ceria abrasives for chemical mechanical planarization (CMP) of silicon dioxide and silicon nitride films for shallow trench isolation applications was reported.
Abstract: We report on the use of mixed abrasive slurries (MAS) containing alumina and ceria abrasives for chemical mechanical planarization (CMP) of silicon dioxide and silicon nitride films for shallow trench isolation applications, extending an earlier investigation of alumina/silica MAS for the CMP of copper and tantalum films. These slurries show a polish rate selectivity between oxide and nitride films that is as high as 65 and show an excellent surface quality even without additives. Analysis of dried slurry particles using transmission electron microscopy indicates formation of a sheath of smaller ceria particles around larger alumina particles. Possible explanations and supportive arguments for the improved performance of MAS during CMP are presented based on the particle-particle and particle-film interactions.

Patent
21 Aug 2003
TL;DR: Aqueous dispersion containing silicon dioxide powder, which is stable in a pH range of 2 to 6, contains a cation-providing compound that is at least partially soluble in this pH range and the zeta potential of which is less than or equal to zero as mentioned in this paper.
Abstract: Aqueous dispersion containing silicon dioxide powder, which is stable in a pH range of 2 to 6, which contains a cation-providing compound that is at least partially soluble in this pH range and the zeta potential of which is less than or equal to zero. It is produced by bringing silicon dioxide powder and at least one cation-providing compound into contact whilst moving in an aqueous medium. The dispersion can be used for chemical-mechanical polishing of metal surfaces.

Patent
27 Mar 2003
TL;DR: In this paper, the co-self-assembly of organic and inorganic components is employed to create nanometer features of silicon dioxide type materials in thin films on silicon surfaces, and an inverse hexagonal morphology is produced in which the polymer forms nanopores within an inorganic matrix.
Abstract: The co-self-assembly of organic, e.g., block copolymer, and inorganic, e.g., sol-gel, components is employed to create nanometer features of silicon dioxide type materials in thin films on silicon surfaces. In the preferred embodiment, sol-gel chemistry is used to introduce inorganic components (preferably 3-glycidoxy-propyltrimethoxysilane and aluminum-tri-sec-butoxide) into a block copolymer (preferably poly (isoprene-block-ethylene oxide) (PI-b-PEO)), as a structure-directing agent. The inorganic components preferentially migrate to the PEO block and swell the copolymer into different morphologies depending on the amount of sol-gel precursors added. Thin films (e.g., below 100 nm) are created by spin coating the hybrid solution onto a silicon wafer. An inverse hexagonal morphology, for example, is produced in which the polymer forms nanopores within an inorganic matrix. Through heat treatment the organic phase can subsequently be removed leaving an all-inorganic porous nanostructure on the wafer.

Journal ArticleDOI
TL;DR: In this paper, electron-beam-stimulated deposition and etching has been investigated as a clean, alternative method for nanoscale selective processing, and the etch rate increases linearly with decreasing electron beam energy, however, it is not clear if this is due to enhanced primary-or secondary-electron-stimulated processes.
Abstract: Electron-beam-stimulated deposition and etching has been investigated as a clean, alternative method for nanoscale selective processing. Depositions using W(CO)6 and hydrocarbon sources have yielded efficient and selective electron-beam deposits. Primarily fluorine-based precursors have been used to etch a variety of materials. Initial results regarding the selective etching of silicon and silicon dioxide suggest that inelastic scattering of the primary electron beam with the gas occurs and is more severe at lower beam energies. The etch rate increases linearly with decreasing electron-beam energy, however, it is not clear if this is due to enhanced primary- or secondary-electron-stimulated processes. Feature sizes as small as 55 nm have been selectively processed.

Patent
29 Sep 2003
TL;DR: A polishing composition for reducing the haze level of the surface of silicon wafers is described in this article, which contains polyethyl cellulose, polyethylene oxide, an alkaline compound, water, and silicon dioxide.
Abstract: A polishing composition for reducing the haze level of the surface of silicon wafers contains hydroxyethyl cellulose, polyethylene oxide, an alkaline compound, water, and silicon dioxide.

Journal ArticleDOI
15 Feb 2003
TL;DR: A method of preparing nanometer-sized oxide colloids suitable as substance carriers for size-sensitive diagnostic imaging and other biomedical applications is described, which include water-stable colloidal carriers and surface-modified colloids.
Abstract: A method of preparing nanometer-sized oxide colloids suitable as substance carriers for size-sensitive diagnostic imaging and other biomedical applications is described. The nanometer-sized silica particles prepared by the Stober process were reacted with silane to obtain amine-terminated colloidal surfaces under aqueous conditions. The surface-modified colloids can be further treated to combine or conjugate with imaging agents and other diagnostic or therapeutic substances. The water-stable colloidal carriers are spherical and have a narrow size distribution that can be controlled to range from 10 to 200 nm.

Journal ArticleDOI
TL;DR: In this paper, the authors showed that direct thermal treatment of a commercial silicon powder in the presence of graphite yields pure amorphous silica nanowires with lengths up to 500 µm for diameters in the range 10-300 nm.
Abstract: Bulk quantities of amorphous silica nanowires and novel braided helical silica nanostructures have been synthesized by a simple and cheap route. Actually, direct thermal treatment of a commercial silicon powder in the presence of graphite yields pure amorphous silica nanowires with lengths up to 500 µm for diameters in the range 10–300 nm. Electron Energy-Loss Spectroscopy (EELS) analysis indicates that the nanowires consist of Si and O elements in atomic ratio 1 ∶ 2, corresponding to SiO2. The formation of silicon dioxide nanowires can be related to the in-situ formation and subsequent decomposition of silicon oxide SiO (g). The nanowires are gathered to form bundles and braid-like nanostructures have been observed in some cases. The formation of these helical nanoobjects results from the self-assemblage of silica nanowires, may be due to the gas flowing during the process.

Patent
05 Feb 2003
TL;DR: In this article, an aqueous dispersion containing a silicon dioxide powder coated with cerium oxide is described and used for chemical-mechanical polishing, and a method of producing an aquivalent dispersion consisting of silicon dioxide and cerium dioxide is described.
Abstract: The present invention relates to an aqueous dispersion containing a silicon dioxide powder coated with cerium oxide. In addition, the present invention provides a method of producing an aqueous dispersion containing a silicon dioxide powder coated with cerium oxide and methods of using this aqueous dispersion for chemical-mechanical polishing.

Patent
03 Jun 2003
TL;DR: In this paper, a method for applying a passivation layer selectively on an exposed silicon surface from a liquid phase solution supersaturated in silicon dioxide is described, and the immersion is conducted at substantially atmospheric temperature and pressure.
Abstract: A method for applying a passivation layer selectively on an exposed silicon surface from a liquid phase solution supersaturated in silicon dioxide. The immersion is conducted at substantially atmospheric temperature and pressure and achieves an effective passivation layer in an abbreviated immersion time, and without subsequent heat treatment. In one embodiment, rapid coating of a wafer back side with silicon dioxide permits the use of a high-speed electroless process for plating the bond pad with a solder-enhancing material. In another embodiment, the walls of via holes and microvia holes in a silicon body may be passivated by immersion in the supersaturated solution prior to plugging the holes with conductive material.

Journal ArticleDOI
Hongwen Zhang1, Bing Wang1, Hongtu Li1, Yan Jiang1, Jingyuan Wang1 
TL;DR: In this article, the properties of compatibility, damping and phase structure of polyurethane and epoxy resin IPN matrices were studied by dynamic mechanical analysis, scanning electronic microscopy, wide-angle X-ray diffraction and small-angle x-ray scattering.
Abstract: Nanocomposites with varying concentrations of nanosized silicon dioxide particles were prepared by adding nanosilica to interpenetrating polymer networks (IPN)s of polyurethane and epoxy resin (PU/EP). The PU/EP IPNs and nanocomposites were studied by dynamic mechanical analysis, scanning electronic microscopy, wide-angle X-ray diffraction and small-angle X-ray scattering. The result showed that adding nanosize silicon dioxide can improve the properties of compatibility, damping and phase structure of IPN matrices. Copyright © 2003 Society of Chemical Industry