scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2004"


Journal ArticleDOI
TL;DR: In this article, the authors investigate poly(methylmethacrylate) (PMMA) development process with cold developers for its effect on resolution, resist residue, and pattern quality of sub-10 nm electron beam lithography (EBL).
Abstract: We investigate poly(methylmethacrylate) (PMMA) development processing with cold developers (4–10 °C) for its effect on resolution, resist residue, and pattern quality of sub-10 nm electron beam lithography (EBL). We find that low-temperature development results in higher EBL resolution and improved feature quality. PMMA trenches of 4–8 nm are obtained reproducibly at 30 kV using cold development. Fabrication of single-particle-width Au nanoparticle lines was performed by lift-off. We discuss key factors for formation of PMMA trenches at the sub-10 nm scale.

232 citations


Journal ArticleDOI
01 Apr 2004-Langmuir
TL;DR: This strategy is to use direct-write electron beam patterning to convert nitro functionality in self-assembled monolayers of 3-(4-nitrophenoxy)-propyltrimethoxysilane to amino functionality, forming chemically well-defined surface architectures on the 100 nm scale.
Abstract: This paper demonstrates a novel facile method for fabrication of patterned arrays of gold nanoparticles on Si/SiO2 by combining electron beam lithography and self-assembly techniques. Our strategy is to use direct-write electron beam patterning to convert nitro functionality in self-assembled monolayers of 3-(4-nitrophenoxy)-propyltrimethoxysilane to amino functionality, forming chemically well-defined surface architectures on the 100 nm scale. These nanopatterns are employed to guide the assembly of citrate-passivated gold nanoparticles according to their different affinities for amino and nitro groups. This kind of nanoparticle assembly offers an attractive new option for nanoparticle patterning a silicon surface, as relevant, for example, to biosensors, electronics, and optical devices.

206 citations


Proceedings ArticleDOI
18 Oct 2004
TL;DR: In this paper, the mechanism of proximity effect is discussed through Monte Carlo simulation of the electron scattering processes and effective approaches for proximity effect correction are proposed, which can effectively reduce the proximity effect through improving mask design, optimizing processes conditions and utilizing proximity effect corrections software.
Abstract: Proximity effect is the most severe factor that influences the exposure resolution of electron beam. In this paper, the mechanism of proximity effect is discussed through Monte Carlo simulation of the electron scattering processes. And effective approaches of proximity effect correction are proposed. The theoretical results of Monte Carlo simulation and experimental results show that proximity effect is determined by many factors, in addition to the shape, size and packing density of patterns, proximity effect is also dependent on processes conditions. Only on the basis of optimizing the processes conditions and mask design, the expectant purpose of proximity effect correction by software can be achieved. Proximity effect is effectively reduced through improving mask design, optimizing processes conditions and utilizing proximity effect correction software.

164 citations


Journal ArticleDOI
TL;DR: The results demonstrate highly length-scaled and high-performance interconnects and transistors realized with SWCNTs.
Abstract: A simple method combining photolithography and shadow (or angle) evaporation is developed to fabricate single-walled carbon nanotube (SWCNT) devices with tube lengths of ≈10–50 nm between metal contacts. Large numbers of such short devices are obtained without the need of complex tools such as electron beam lithography. Metallic SWCNTs with lengths of ≈10 nm, near the mean free path of lop ≈ 15 nm for optical phonon scattering, exhibit nearly ballistic transport at high biases and can carry unprecedented 100-μA currents per tube. Semiconducting SWCNT fieldeffect transistors with ≈50-nm channel lengths are routinely produced to achieve quasi-ballistic operations for molecular transistors. The results demonstrate highly length-scaled and high-performance interconnects and transistors realized with SWCNTs.

153 citations


Journal ArticleDOI
TL;DR: In this article, a planar surface bearing nanoscale chemical patterns, obtained by combining electron beam lithography and gas-phase silanation, offers an unprecedented range of chemical functionalities down to the 20-25nm feature size level.
Abstract: We report on the fabrication of planar surfaces bearing nanoscale chemical patterns, obtained by combining electron beam lithography and gas-phase silanation, offering an unprecedented range of chemical functionalities down to the 20-25-nm feature size level. Compared to previously reported methods, this method combines a number of desirable features such as high resolution, a large range of accessible chemical functions, the possibility to pattern large surfaces, and the potential for higher throughput. The formation from the gas phase of silane monolayers of high quality and varying chemical functionality is shown to be achievable through the nanoholes of poly(methyl methacrylate) (PMMA) masks prepared by e-beam nanolithography on Si wafers. The removal of the mask and subsequent silanation of the background provides ultraflat surfaces chemically nanopatterned over large areas. The patterns were imaged and characterized by atomic force microscopy (AFM). The patterned surfaces can be used, for instance, to direct macromolecular assembly, as demonstrated by controlling the deposition of polyelectrolyte multilayers on the 150-nm scale.

142 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used a near-field scanning optical microscope (NSOM) coupled with a UV laser to fabricate structures significantly smaller than the aperture in the NSOM probe.
Abstract: Molecular features with widths of only 20 nm have been fabricated in self-assembled monolayers of alkanethiols on gold using a new lithographic tool, scanning near-field photolithography, based upon the use of a near-field scanning optical microscope (NSOM) coupled to a UV laser. Quite unexpectedly it has proved possible to routinely fabricate structures significantly smaller than the aperture in the NSOM probe. This exceptional performance is strongly correlated with the morphology of the gold film. In particular, the best results are achieved on films with comparatively small grain sizes. In contrast, the use of atomically flat, epitaxially deposited gold films leads to a minimum feature size comparable to the aperture diameter (ca 50 nm). It is concluded that nonradiative interactions (possibly the excitation of surface plasmons) between the gold substrate and the fiber lead to a pronounced focusing of the electric field beneath the aperture.

139 citations



Journal ArticleDOI
TL;DR: Carbon nanotube field-effect transistors with sub-20 nm long channels and on/off current ratios of >10(6) are demonstrated and display on-currents in excess of 15 microA for drain-source biases of only 0.4 V.
Abstract: Carbon nanotube field-effect transistors with sub 20 nm long channels and on/off current ratios of > 1000000 are demonstrated. Individual single-walled carbon nanotubes with diameters ranging from 0.7 nm to 1.1 nm grown from structured catalytic islands using chemical vapor deposition at 700 degree Celsius form the channels. Electron beam lithography and a combination of HSQ, calix[6]arene and PMMA e-beam resists were used to structure the short channels and source and drain regions. The nanotube transistors display on-currents in excess of 15 microA for drain-source biases of only 0.4 Volt.

118 citations


Journal ArticleDOI
TL;DR: In this article, the acid distribution around an ionization point with a typical parameter set is calculated for post-optical lithography with chemically amplified electron beam (EB), x-ray, and EUV resists.
Abstract: With the shrinkage of feature sizes, ever precise accuracy has been required for process simulators because of the importance of nanoscale resist topography such as line edge roughness. Formation processes of latent images in chemically amplified electron beam (EB), x-ray, and EUV resists are different from both chemically amplified photoresists used in optical lithography and conventional, nonchemically amplified EB resists. A new simulation scheme precisely based on reaction mechanisms is necessary to reproduce resist patterns for the postoptical lithographies. We proposed a method to simulate electron dynamics in chemically amplified resists and to calculate the acid distribution around an ionization point with a typical parameter set.

110 citations


Journal ArticleDOI
TL;DR: In this article, the authors present the concept and demonstrate experimental operation of a vertical electromechanical single-electron transistor, which is fabricated from silicon forming a nanopillar situated between source and drain contacts.
Abstract: Nanomechanical systems have been shown to accurately regulate the flow of electric current. We present the concept and demonstrate experimental operation of a vertical electromechanical single-electron transistor. The device is fabricated from silicon forming a nanopillar situated between source and drain contacts. The advantage of this concept is its straightforward manufacturing, which only includes two processing steps: Electron-beam lithography and reactive ion etching. The device operates at room temperature and at frequencies in the range of 350–400 MHz. A theoretical model of the operation of this device is given, explaining qualitatively the obtained experimental data.

103 citations


Journal ArticleDOI
TL;DR: In this paper, a technique combining electron beam lithography and chemical electrodeposition was proposed to produce platinum electrodes with separation between 20 and 3.5 nm, where the measurement of the conductance between the two electrodes through the electrolyte provided an accurate and reproducible way to control their separation.
Abstract: We have fabricated pairs of platinum electrodes with separation between 20 and 3.5 nm. Our technique combines electron beam lithography and chemical electrodeposition. We show that the measurement of the conductance between the two electrodes through the electrolyte provides an accurate and reproducible way to control their separation. We have tested the robustness of the electrodes by applying large voltages across them and by using them to measure the transport properties of Au nano-clusters. Our results show that the technique reliably produces metallic electrodes with a separation that bridges the minimum scale accessible by electron beam lithography with the atomic scale.

Journal ArticleDOI
TL;DR: In this article, the authors used dip-pen nanolithography (DPN) to generate resist layers on Au, Ag, and Pd that when combined with wet-chemical etching can lead to nanostructures with deliberately designed shapes and sizes.
Abstract: Dip-pen nanolithography (DPN) has been used to generate resist layers on Au, Ag, and Pd that when combined with wet-chemical etching can lead to nanostructures with deliberately designed shapes and sizes. Monolayers of mercaptohexadecanoic acid (MHA) or octadecanethiol (ODT), patterned by DPN, were explored as etch resists. They work comparably well on Au and Ag, but ODT is the superior material for Pd. MHA seems to attract the FeCl3 etchant and results in nonuniform etching of the underlying Pd substrate. Dots, lines, triangles, and circles, ranging in size from sub-100 to several hundred nanometers have been fabricated on Si/SiOx substrates. These results show how one can use DPN as an alternative to more complex and costly procedures such as electron beam lithography to generate nanostructures from inorganic materials.

Journal ArticleDOI
TL;DR: In this article, the scanning metallic tip of a scanning force microscope was coupled capacitively to electrons confined in a lithographically defined gate-tunable quantum dot at a temperature of 300 mK.
Abstract: The scanning metallic tip of a scanning force microscope was coupled capacitively to electrons confined in a lithographically defined gate-tunable quantum dot at a temperature of 300 mK. Single electrons were made to hop on or off the dot by moving the tip or by changing the tip bias voltage owing to the Coulomb-blockade effect. Spatial images of conductance resonances map the interaction potential between the tip and individual electronic quantum dot states. Under certain conditions this interaction is found to contain a tip-voltage induced and a tip-voltage-independent contribution.

Journal ArticleDOI
TL;DR: In this article, a three-dimensional woodpile photonic crystal in the near-infrared using a layer-by-layer approach involving electron beam lithography and spin on glass planarization is presented.
Abstract: We demonstrate the fabrication of a three-dimensional woodpile photonic crystal in the near-infrared using a layer-by-layer approach involving electron beam lithography and spin on glass planarization. The alignment accuracy between the first and the fifth layer is within 10% of the lattice spacing as measured from cross section scanning-electron-microscopy images. Optical reflectivity measurements reveal peaks consistent with the photonic gap frequency. The method offers a way of rapid prototyping full three-dimensional photonic band gap devices with considerable flexibility of materials choice. Moreover, lattice structure that can operate at wavelengths into the visible can be fabricated using this approach.

Journal ArticleDOI
TL;DR: Low-loss bidimensional photonic crystals have been fabricated by using an optimized process, consisting of electron beam lithography of a poly(methyl methacrylate) resist, reactive ion etching of an intermediate dielectric layer, and inductively coupled plasma etch of InP-based heterostructures as mentioned in this paper.
Abstract: Low-loss bidimensional photonic crystals have been fabricated by using an optimized process, consisting of electron beam lithography of a poly(methyl methacrylate) resist, reactive ion etching of an intermediate dielectric layer, and inductively coupled plasma etching of InP-based heterostructures. A depth to diameter aspect ratio as high as 14 has been obtained for hole diameter varying from 260 to 620 nm. As a result of the high aspect ratio and of the almost cylindrical hole shape, very low radiation losses have been obtained. For example, a transmission coefficient in the air band as high as 0.8 has been achieved for eight rows ΓM structure, demonstrating a very low value of the radiation losses.


Journal ArticleDOI
TL;DR: In this article, a catalyst dot pattern for carbon nanofiber growth is formed on the surface of the Tipless cantilevers using electron beam lithography, and the growth of carbon fiber is performed in a direct-current plasma-enhanced chemical vapor deposition reactor.
Abstract: Carbon nanofibers are grown on tipless cantilevers as probe tips for scanning probe microscopy A catalyst dot pattern for carbon nanofiber growth is formed on the surface of the tipless cantilevers using electron beam lithography, and the growth of carbon nanofibers is performed in a direct-current plasma-enhanced chemical vapor deposition reactor Atomic force surface imaging and magnetic force-gradient imaging have been demonstrated using these probe tips

Journal ArticleDOI
TL;DR: In this paper, a two-stage coupling scheme was proposed to facilitate efficient optical coupling into the line-defect waveguide, which confirmed the presence of a photonic band gap, as well as band gap guidance.
Abstract: Photonic-crystal structures consisting of dielectric rods were designed, fabricated, and optically characterized. The combination of the high refractive-index-contrast GaAs∕AlxOy material system with electron-beam lithography enabled the fabrication of structures suitable for the optical propagation of 1.5 μm light. Experimental transmission spectra were obtained for structures consisting of a two-dimensional array of rods and line-defect waveguides. Optical measurements confirmed the presence of a photonic band gap, as well as band gap guidance in the line-defect waveguide. A two-stage coupling scheme facilitated efficient optical coupling into the line-defect waveguide.

Journal ArticleDOI
TL;DR: In this paper, a single electron transistor in a modulation doped silicon/silicon/germanium heterostructure was constructed by electron beam lithography and subsequent reactive ion etching.
Abstract: We report the fabrication and electrical characterization of a single electron transistor in a modulation doped silicon/silicon–germanium heterostructure. The quantum dot is fabricated by electron beam lithography and subsequent reactive ion etching. The dot potential and electron density are modified by laterally defined side gates in the plane of the dot. Low temperature measurements show Coulomb blockade with a single electron charging energy of 3.2 meV.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate the deposition of periodic arrays of uniformly sized GaN quantum dots onto a SiOx substrate using a nanolithography technique based on a combination of electron-beam-induced chemical vapor deposition and single-source molecular hydride chemistries.
Abstract: We demonstrate the deposition of periodic arrays of uniformly sized GaN quantum dots onto a SiOx substrate. The dots are deposited using a nanolithography technique based on a combination of electron-beam-induced chemical vapor deposition and single-source molecular hydride chemistries. Under appropriate deposition conditions, we can deposit uniform dots of height 5 nm and full widths at half-maxima of 4 nm. The dot size is controlled by the spatial distribution of secondary electrons leaving the substrate surface. The smallest, most uniform void-free dots are created via nanolithography of molecules adsorbed on the substrate surface.

Patent
10 May 2004
TL;DR: In this article, the authors described a maskless lithography process by over coating a photo resist layer with water soluble thermoplastics and imaging ultraviolet (UV) absorbing nano particles onto the photo resist layers.
Abstract: Systems and methods are disclosed for a maskless lithography process by over coating a photo resist layer with water soluble thermoplastics; and imaging ultraviolet (UV) absorbing nano particles onto the photo resist layer.

Journal ArticleDOI
TL;DR: Magnetically isolated single domain islands with perpendicular anisotropy have been prepared by depositing Co/Pd multilayer films on prepatterned sub-50 nm SiO2/Si islands as discussed by the authors.
Abstract: Magnetically isolated single domain islands with perpendicular anisotropy have been prepared by depositing Co/Pd multilayer films on prepatterned sub-50 nm SiO2/Si islands. The island arrays were fabricated by both direct write electron beam lithography and nanoimprinting. Nanoimprinting allows the creation of large area, 4 mm×4 mm, samples appropriate for characterization by conventional measurement techniques. Magnetic force microscopy and vibrating sample magnetometry showed that the reversal behavior of the patterned islands is quite different from that of the continuous films with a large increase in both switching field and switching field distribution. Recording on island arrays with a periodicity of 100 nm, produced from prepatterned substrates, was demonstrated using a quasistatic tester.

Journal ArticleDOI
TL;DR: In this paper, an epitaxial 2 nm thick rutile TiO2 film was grown and patterned into 100-200 nm wide attachment sites by electron beam lithography.
Abstract: Triangular (111) and square-shaped (100) Pb(Zr,Ti)O3 single crystallites with lateral dimensions down to 50 nm and thickness of 20 nm have been grown using lithography-modulated self-assembly in an in situ sputter process. Epitaxial (111)-oriented Pt on a SrTiO3 single crystal served as the substrate. An epitaxial 2 nm thick rutile TiO2 film was grown and patterned into 100–200 nm wide attachment sites by electron beam lithography. The perovskite nucleation density was 60 times higher on TiO2 seeds than on bare Pt(111).

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate the transfer of sub-10nm features into nickel using a hard stamp, which was generated using ultra-high resolution electron beam lithography, and then transferred to the diamond and SiC using RIE etching with an O2 plasma used for the diamond, and a SF6+O2 mixture used for SiC. Hydrogen Silsesquioxane was used as a resist and served as a mask in the plasma etching.
Abstract: We demonstrate the transfer of sub-10nm features into nickel using a hard stamp. Nanostructures were transferred directly from diamond and SiC in a single step by pressing the stamp into nickel at room temperature. The patterns were generated using ultrahigh resolution electron beam lithography. Patterns were transferred to the diamond and SiC using RIE etching with an O2 plasma used for the diamond and a SF6+O2 mixture used for the SiC. Hydrogen Silsesquioxane was used as a resist and served as a mask in the plasma etching.

Journal ArticleDOI
TL;DR: In this paper, the growth and optical properties of homogeneous, dense arrays of site-controlled, single GaAs/AlGaAs quantum dot (QD) heterostructures with periodicities as small as 300 nm were reported.
Abstract: We report on the growth and optical properties of homogeneous, dense arrays of site-controlled, single GaAs/AlGaAs quantum dot (QD) heterostructures with periodicities as small as 300 nm. The samples were grown by organometallic chemical vapor deposition on (111)B GaAs substrates containing dense inverted pyramid recess patterns prepared by electron beam lithography and wet chemical etching. Low-temperature microphotoluminescence spectra of the samples show distinct luminescence from the QDs with 1–3 meV linewidth. Low-temperature cathodoluminescence spectrally resolved images reveal uniform emission energy within an ensemble of 900 QDs.

Patent
21 May 2004
TL;DR: In this article, an incident electron beam is formed and directed to an opaque patterned structure and reflected electrons are directed towards a target substrate to form an image and expose a lithographic pattern.
Abstract: One embodiment disclosed relates to an apparatus for reflection electron beam lithography. An electron source is configured to emit electrons. The electrons are reflected to a target substrate by portions of an electron-opaque patterned structure having a lower voltage level and are absorbed by portions of the structure having a higher voltage level. Another embodiment relates to a novel method of electron beam lithography. An incident electron beam is formed and directed to an opaque patterned structure. Electrons are reflected from portions of the structure having a lower voltage level applied thereto and are absorbed by portions of the structure having a higher voltage level applied thereto. The reflected electrons are directed towards a target substrate to form an image and expose a lithographic pattern.

Journal ArticleDOI
TL;DR: In this paper, a lift-off process using a bi-layer resist stack with PMMA on top and LOR below was investigated, and the dissolution rates of LOR underneath the PMMA layer in alkali solvent, CD26, were measured under various processing conditions.

Journal ArticleDOI
TL;DR: Scanning probe lithography is applied to pattern fluid lipid membranes on a solid borosilicate substrate and the effect of the probe tip on the membrane can be regulated by toggling the pH of the surrounding solution.
Abstract: Scanning probe lithography (SPL) is applied to pattern fluid lipid membranes on a solid borosilicate substrate. Grids of metal lines, prepatterned onto the substrate by electron beam lithography, serve to partition the supported membrane into an array of isolated fluid pixels. By toggling the pH of the surrounding solution, the effect of the probe tip on the membrane can be regulated. Alkaline conditions favor membrane removal, while neutral pH favors membrane deposition. Arbitrary membrane patterns with spatial dimensions limited by the underlying grid size can be constructed by sequential SPL membrane removal followed by refill with a different membrane type. In the present study, bilayers of unique composition fill 1 x 1 mum corrals and were positioned 100 nm apart.

Journal ArticleDOI
Li Zhang1, S. V. Golod1, E Deckardt1, V Prinz, Detlev Grützmacher1 
TL;DR: In this article, free-standing SiGe/Si microtubes, microneedles, helical coils, bridges and sub-micron vertical rings have been fabricated from elastically strained SiGe /Si heterostructures grown by ultra-high vacuum chemical vapor deposition.
Abstract: Free-standing SiGe/Si microtubes, microneedles, helical coils, bridges and submicron vertical rings have been fabricated from elastically strained SiGe/Si heterostructures grown by ultra-high vacuum chemical vapor deposition. Three-dimensional micro- and nano-objects have been formed by self-scrolling after electron beam lithography, reactive ion etching and wet selective etching. Vertical rings with very smooth sidewalls may have applications in hot embossing lithography as well as in microelectronics and micromechanics. By adjusting the SiGe/Si bilayer thickness or Ge concentration, the diameter of tube or ring could be decreased into the nanometer scale.

Journal ArticleDOI
TL;DR: In this paper, a novel microfabrication method for heteroepitaxial diamond was developed, where the ir surfaces were treated with ion irradiation of CH 4 /H 2 gas by dc discharge using planar diode resist masks were patterned on the ion irradiated Ir surface by electron-beam lithography.