scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2015"


Journal ArticleDOI
Yifang Chen1
TL;DR: In this article, a review of electron beam lithography (EBL) based nanofabrication techniques for pattern transfer is presented, focusing on how to apply the property of EBL resists for constructing multilayer stacks towards pattern transfer.

502 citations


Journal ArticleDOI
TL;DR: This work combines cathodoluminescence spectroscopy with advanced in situ three-dimensional electron-beam lithography at cryogenic temperatures to pattern monolithic microlenses precisely aligned to pre-selected single quantum dots above a distributed Bragg reflector to enhance the photon-extraction efficiency.
Abstract: Single indistinguishable photon sources with high flux rates and purity are needed in quantum communications. Here, Gschrey et al. use three-dimensional electron-beam lithography to pattern deterministic quantum-dot microlenses and demonstrate enhanced photon-extraction efficiency and photon indistinguishability.

288 citations


Journal ArticleDOI
TL;DR: This demonstration of lateral heterojunction arrays within a monolayer crystal is an essential step for the integration of two-dimensional semiconductor building blocks with different electronic and optoelectronic properties for high-density, ultrathin devices.
Abstract: The formation of semiconductor heterojunctions and their high-density integration are foundations of modern electronics and optoelectronics. To enable two-dimensional crystalline semiconductors as building blocks in next-generation electronics, developing methods to deterministically form lateral heterojunctions is crucial. Here we demonstrate an approach for the formation of lithographically patterned arrays of lateral semiconducting heterojunctions within a single two-dimensional crystal. Electron beam lithography is used to pattern MoSe2 monolayer crystals with SiO2, and the exposed locations are selectively and totally converted to MoS2 using pulsed laser vaporization of sulfur to form MoSe2/MoS2 heterojunctions in predefined patterns. The junctions and conversion process are studied by Raman and photoluminescence spectroscopy, atomically resolved scanning transmission electron microscopy and device characterization. This demonstration of lateral heterojunction arrays within a monolayer crystal is an essential step for the integration of two-dimensional semiconductor building blocks with different electronic and optoelectronic properties for high-density, ultrathin devices. Lateral heterojunctions between two-dimensional semiconductor crystals are essential building blocks for electronic devices. Here, the authors utilize electron-beam lithography and selective conversion to simultaneously fabricate arrays of molybdenum diselenide–molybdenum disulfide heterojunctions.

216 citations


Journal ArticleDOI
TL;DR: It is shown that optical metasurfaces with such control can be constructed using an approach that combines top-down and bottom-up processes, wherein gold nanocubes are assembled into ordered arrays via DNA hybridization events onto a gold film decorated with DNA-binding regions defined using electron beam lithography.
Abstract: Control of both photonic and plasmonic coupling in a single optical device represents a challenge due to the distinct length scales that must be manipulated. Here, we show that optical metasurfaces with such control can be constructed using an approach that combines top-down and bottom-up processes, wherein gold nanocubes are assembled into ordered arrays via DNA hybridization events onto a gold film decorated with DNA-binding regions defined using electron beam lithography. This approach enables one to systematically tune three critical architectural parameters: (1) anisotropic metal nanoparticle shape and size, (2) the distance between nanoparticles and a metal surface, and (3) the symmetry and spacing of particles. Importantly, these parameters allow for the independent control of two distinct optical modes, a gap mode between the particle and the surface and a lattice mode that originates from cooperative scattering of many particles in an array. Through reflectivity spectroscopy and finite-difference...

138 citations


Journal ArticleDOI
TL;DR: In this article, the output beam of a 4.8 μm distributed-feedback quantum cascade laser is collimated using a polarization insensitive metasurface lens with 0.86 numerical aperture and 79% transmission efficiency.
Abstract: Light emitted from single-mode semiconductor lasers generally has large divergence angles, and high numerical aperture lenses are required for beam collimation. Visible and near infrared lasers are collimated using aspheric glass or plastic lenses, yet collimation of mid-infrared quantum cascade lasers typically requires more costly aspheric lenses made of germanium, chalcogenide compounds, or other infrared-transparent materials. Here we report mid-infrared dielectric metasurface flat lenses that efficiently collimate the output beam of single-mode quantum cascade lasers. The metasurface lenses are composed of amorphous silicon posts on a flat sapphire substrate and can be fabricated at low cost using a single step conventional UV binary lithography. Mid-infrared radiation from a 4.8 μm distributed-feedback quantum cascade laser is collimated using a polarization insensitive metasurface lens with 0.86 numerical aperture and 79% transmission efficiency. The collimated beam has a half divergence angle of 0.36° and beam quality factor of M2=1.02.

109 citations


Journal ArticleDOI
TL;DR: In this paper, a three-dimensional fabrication process by electron beam lithography was finely tuned in order to realize high-quality spiral phase plates (SPPs) for the generation of OAM-carrying optical beams.
Abstract: The discovery that light beams with a helical phase front carry orbital angular momentum (OAM) has enabled applications in many fields ranging from optical manipulation to quantum information processing and, recently, free-space information transfer and communications Here, a novel three-dimensional fabrication process by electron beam lithography was finely tuned in order to realize high-quality spiral phase plates (SPPs) for the generation of OAM-carrying optical beams Single- and multi-step SPPs have been realized for the generation of high-order Laguerre–Gaussian beams with different values of topological charge and radial index The optical response of these optical elements was experimentally investigated and compared with theoretical models

88 citations


Journal ArticleDOI
TL;DR: In this article, the influence of the height of the pedestal is shown to be an important parameter for the plasmonic near-field enhancement, as they show with finite-difference time-domain simulations.
Abstract: The coupling of an infrared plasmon-polariton excitation of a metal nanoparticle to a vibrational excitation of a similar energy enables strong vibrational signal enhancement. However, the commonly used planar substrates substantially weaken plasmonic resonances because of their polarizability, and, furthermore, a great part of the enhanced near-field is inside the substrate and thus not available for an analyte. In this contribution we report on a way to reduce these undesirable influences of the substrate by fabricating gold nanowires on high pedestals and thus in reduced contact with the substrate. The influence of the height of the pedestal is an important parameter for the plasmonic near-field enhancement, as we show with finite-difference time-domain simulations. Comparing the plasmonic response and the SEIRA activity of the rods prepared by standard electron beam lithography and the rods additionally treated with reactive ion etching to remove the silicon substrate at the hot-spots of the rods reve...

81 citations


Journal ArticleDOI
TL;DR: It is shown that the hole arrangement has no effect on the selectivity but can be used to force a transition from nanowire to nanotube growth by employing a highly competitive growth regime.
Abstract: In this work the position-controlled growth of GaN nanowires (NWs) on diamond by means of molecular beam epitaxy is investigated. In terms of growth, diamond can be seen as a model substrate, providing information of systematic relevance also for other substrates. Thin Ti masks are structured by electron beam lithography which allows the fabrication of perfectly homogeneous GaN NW arrays with different diameters and distances. While the wurtzite NWs are found to be Ga-polar, N-polar nucleation leads to the formation of tripod structures with a zinc-blende core which can be efficiently suppressed above a substrate temperature of 870 °C. A variation of the III/V flux ratio reveals that both axial and radial growth rates are N-limited despite the globally N-rich growth conditions, which is explained by the different diffusion behavior of Ga and N atoms. Furthermore, it is shown that the hole arrangement has no effect on the selectivity but can be used to force a transition from nanowire to nanotube growth by...

74 citations


Journal ArticleDOI
TL;DR: This method is believed that this method provides a parallel, low-cost, high-throughput and large-area nanofabrication route for fabricating nanostructures of holograms, vortex phase plates, bio-sensors and solar cells etc.
Abstract: Nanofabrication technology with high-resolution, high-throughput and low-cost is essential for the development of nanoplasmonic and nanophotonic devices. At present, most metasurfaces are fabricated in a point by point writing manner with electron beam lithography or a focused ion beam, which imposes a serious cost barrier with respect to practical applications. Near field optical lithography, seemingly providing a high-resolution and low-cost way, however, suffers from the ultra shallow depth and poor fidelity of obtained photoresist patterns due to the exponential decay feature of evanescent waves. Here, we propose a method of surface plasmonic imaging lithography by introducing a reflective plasmonic lens to amplify and compensate evanescent waves, resulting in the production of nano resist patterns with high fidelity, contrast and enhanced depth beyond that usually obtained by near field optical lithography. As examples, a discrete and anisotropically arrayed nano-slots mask pattern with different orientations and a size of 40 nm × 120 nm could be imaged in photoresist and transferred successfully onto a metal layer through an etching process. Evidence for the pattern quality is given by virtue of the fabricated metasurface lens devices showing good focusing performance in experiments. It is believed that this method provides a parallel, low-cost, high-throughput and large-area nanofabrication route for fabricating nanostructures of holograms, vortex phase plates, bio-sensors and solar cells etc.

70 citations


Journal ArticleDOI
TL;DR: In this article, a surface electrode pattern was designed to produce sufficient in-plane strain in a PbZr0.52Ti0.48O3 (PZT) thin film clamped by a Si substrate to control magnetism in a 1000nm diameter Ni ring.
Abstract: Experimental results demonstrate the ability of a surface electrode pattern to produce sufficient in-plane strain in a PbZr0.52Ti0.48O3 (PZT) thin film clamped by a Si substrate to control magnetism in a 1000 nm diameter Ni ring. The electrode pattern and the Ni ring/PZT thin film heterostructure were designed using a finite element based micromagnetics code. The magnetoelectric heterostructures were fabricated on the PZT film using e-beam lithography and characterized using magnetic force microscopy. Application of voltage to the electrodes moved one of the “onion” state domain walls. This method enables the development of complex architectures incorporating strain-mediated multiferroic devices.

66 citations


Journal ArticleDOI
TL;DR: In this paper, surface-enhanced Raman scattering (SERS) was used to define sub-10-nm gaps in noble metal bowtie structures and achieved a high sensitivity at low concentration of the target molecule with an enhancement factor of 107.
Abstract: Sub-10-nm gaps in noble metal bowtie structures may enable strong enhancement of the near field at the gap. However, it is challenging to define such small gaps using electron beam lithography (EBL) due to the proximity effect. Here, we circumvented this problem by carrying out EBL on a thin membrane that is transparent to incident electrons and thus free from the proximity effect. Nanogaps down to 6 nm were obtained and employed for sensing application based on surface-enhanced Raman scattering (SERS). We achieved a high sensitivity at low concentration of the target molecule with a SERS enhancement factor of 107.

Journal ArticleDOI
TL;DR: This work presents a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology, which allows for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials.
Abstract: A major challenge in nanofabrication is to pattern unconventional substrates that cannot be processed for a variety of reasons, such as incompatibility with spin coating, electron beam lithography, optical lithography, or wet chemical steps. Here, we present a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks, transferred precisely onto targeted regions, can be in the millimetre scale. They allow for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials, enabling feature linewidths down to 10 nm. Plasma etching, lift-off, and ion implantation are realized without the need for scanning electron/ion beam processing, UV exposure, or wet etching on target substrates.

Patent
23 Oct 2015
TL;DR: In this article, a resist imprint of the nanoscale sharp shapes is performed using J-FIL and the shape is etched into underlying functional films on the substrate forming a nansohaped template with sharp corners and/or ultra-small gaps.
Abstract: A method for template fabrication of ultra-precise nanoscale shapes. Structures with a smooth shape (e.g., circular cross-section pillars) are formed on a substrate using electron beam lithography. The structures are subject to an atomic layer deposition of a dielectric interleaved with a deposition of a conductive film leading to nanoscale sharp shapes with features that exceed electron beam resolution capability of sub- 10 nm resolution. A resist imprint of the nanoscale sharp shapes is performed using J-FIL. The nanoscale sharp shapes are etched into underlying functional films on the substrate forming a nansohaped template with nanoscale sharp shapes that include sharp corners and/or ultra-small gaps. In this manner, sharp shapes can be retained at the nanoscale level. Furthermore, in this manner, imprint based shape control for novel shapes beyond elementary nanoscale structures, such as dots and lines, can occur at the nanoscale level.

Journal ArticleDOI
TL;DR: In this paper, the performance of a deterministic lithographic technology to produce reliable and accurate fabrication of nanophotonic devices based on epitaxial quantum dots is analyzed, where the selection of qualified quantum dots by low-temperature cathodoluminescence spectroscopy in a scanning electron microscope is performed.
Abstract: The performance of a deterministic lithographic technology to produce a reliable and accurate fabrication of nanophotonic devices based on epitaxial quantum dots is analyzed. Directly after the selection of qualified quantum dots by low-temperature cathodoluminescence spectroscopy in a scanning electron microscope, the in situ electron beam lithography step is performed. In an optimized process flow, quantum dot positions are identified with an accuracy of 25 nm, and a nanoscale alignment accuracy of the device structures of 24 nm for the emitters and one as low as 65 nm for feature sizes is demonstrated. Such accuracies surpass the performance of previously developed optical in situ lithography techniques, making this site control of quantum dots appropriate deterministic quantum device fabrication.

Journal ArticleDOI
TL;DR: In this article, the piezoresistive effect of p-type silicon nanowires fabricated using focused ion beam (FIB) implantation and wet etching was investigated.
Abstract: The piezoresistive effect in silicon nanowires (SiNWs) has attracted a great deal of interest for NEMS devices. Most of the piezoresistive SiNWs reported in the literature were fabricated using the bottom up method or top down processes such as electron beam lithography (EBL). Focused ion beam (FIB), on the other hand, is more compatible with CMOS integration than the bottom up method, and is simpler and more capable of fabricating very narrow Si nanostructures compared to EBL and photolithography. Taking the advantages of FIB, this paper presents for the first time the piezoresistive effect of p-type SiNWs fabricated using focused ion beam implantation and wet etching. The SiNWs were locally amorphized by Ga+ ion implantation, selectively wet-etched, and thermally annealed at 700 °C. A relatively large gauge factor of approximately 47 was found in the annealed SiNWs, indicating the potential of using the piezoresistive effect in top-down fabricated SiNWs for developing NEMS sensors.

Journal ArticleDOI
TL;DR: In this article, a massively parallel electron beam direct write (MPEBDW) system was proposed for high-speed massively parallel NN-EB lithography using an active-matrix-driving complementary metal-oxide semiconductor (CMOS) large-scale integration (LSI) system.
Abstract: Nanoscale lithographic technologies have been intensively studied for the development of the next generation of semiconductor manufacturing practices. While mask-less/direct-write electron beam (EB) lithography methods serve as a candidate for the upcoming 10-nm node approaches and beyond, it remains difficult to achieve an appropriate level of throughput. Several innovative features of the multiple EB system that involve the use of a thermionic source have been proposed. However, a blanking array mechanism is required for the individual control of multiple beamlets whereby each beamlet is deflected onto a blanking object or passed through an array. This paper reviews the recent developments of our application studies on the development of a high-speed massively parallel electron beam direct write (MPEBDW) lithography. The emitter array used in our study includes nanocrystalline-Si (nc-Si) ballistic electron emitters. Electrons are drifted via multiple tunnelling cascade transport and are emitted as hot electrons. The transport mechanism allows one to quickly turn electron beamlets on or off. The emitter array is a micro-electro-mechanical system (MEMS) that is hetero-integrated with a separately fabricated active-matrix-driving complementary metal-oxide semiconductor (CMOS) large-scale integration (LSI) system that controls each emitter individually. The basic function of the LSI was confirmed to receive external writing bitmap data and generate driving signals for turning beamlets on or off. Each emitted beamlet (10 × 10 μm2) is converged to 10 × 10 nm2 on a target via the reduction electron optic system under development. This paper presents an overview of the system and characteristic evaluations of the nc-Si emitter array. We examine beamlets and their electron emission characteristics via a 1:1 exposure test. Electron-beam lithography is becoming a crucial tool for semiconductor manufacturers that produce circuit patterns smaller than 10 nanometers. Masayoshi Esashi at Tohoku University, Japan, and colleagues chart their efforts to improve the low throughput level of this technique using arrays of nanocrystalline silicon electron emitters that emit thousands of ‘hot’ electron beams simultaneously. By integrating the electron-emitter array with an LSI, the team's Massively Parallel Electron Beam Direct Writing (MPEBDW) system can switch the beams on or off at high speed, similar to pixels in a computer display. The prototype uses a 100 × 100 emitter array and a reduction electron-optical system to converge the 100 × 100 pixels of 10 × 10 nanometers beams onto targets. This maskless method of nanoscale patterning makes MPEBDW less expensive and more flexible than conventional lithographic procedures.

Patent
20 Aug 2015
TL;DR: In this article, the system for drawing a pattern on a resist layer covering a semiconductor wafer, comprising an electron gun housing unit provided with a plurality of small-sized electron guns, is described.
Abstract: The system for drawing a pattern on a resist layer covering a semiconductor wafer, comprising an electron gun housing unit provided with a plurality of small-sized electron guns (wherein the housing unit has a hollow column section for releasing an electron beam, and a micro deflection unit is disposed inside for adjusting the inclination of the electron beam), a movable stage capable of moving in the X-Y directions, a wafer stage disposed on the movable stage to support a semiconductor wafer, a mask wafer having struts on its rear side for supporting membranes on which a pattern to be transferred is formed, a mask stage for holding the mask wafer, a matching detection unit for detecting a misalignment between the mask wafer and the semiconductor wafer, and an inclination means connected to the micro deflection unit and the matching detection unit for inclining the electron beam.

Journal ArticleDOI
TL;DR: The formation of Bessel-like beam array from periodic patterns fabricated by the four-beam interference lithography enables an easy fabrication of angular-tolerant wavefront detectors, optical tweezers, optical imaging systems or materials processing tools, having a broad range of applications.
Abstract: Here, we report the formation of Bessel-like beam array from periodic patterns fabricated by the four-beam interference lithography. Characteristics of the generated Bessel-like beams depend on geometrical parameters of the fabricated microaxicon-like structures, which can be easily controlled via the laser processing parameters. The output beam characteristics disclose the attributes of Bessel beams. The demonstrated method enables an easy fabrication of angular-tolerant wavefront detectors, optical tweezers, optical imaging systems or materials processing tools, having a broad range of applications.

Journal ArticleDOI
TL;DR: In this article, the E-beam lithography method is proposed to be superior to the other fabrication methods for surface enhanced Raman spectroscopy (SERS) and discussed the contributions of the Ozbay group.
Abstract: Surface Enhanced Raman Spectroscopy (SERS) is a popular method that amplifies weak Raman signals from Raman-active analyte molecules making use of certain specially-prepared metallic surfaces. The main challenge in SERS is to design and fabricate highly repeatable, predictable, and sensitive substrates. There are many fabrication methods that strive to achieve this goal, which are briefly summarized in this paper. The E-beam lithography method is proposed to be superior to the mentioned techniques. In this paper, we review how EBL can be utilized in the preparation of SERS substrates and we discuss the contributions to the field by the Ozbay group.

Journal ArticleDOI
TL;DR: The quantitative control of branching and alignment of the nanowire array that is achieved in this study will open new paths toward engineering more efficient electrodes to increase photocurrent in nanostructured PVs.
Abstract: Hydrothermally synthesized zinc oxide nanowire arrays have been used as nanostructured acceptors in emerging photovoltaic (PV) devices. The nanoscale dimensions of such arrays allow for enhanced charge extraction from PV active layers, but the device performance critically depends on the nanowire array pitch and alignment. In this study, we templated hydrothermally-grown ZnO nanowire arrays via high-resolution electron-beam-lithography defined masks, achieving the dual requirements of high-resolution patterning at a pitch of several hundred nanometers, while maintaining hole sizes small enough to control nanowire array morphology. We investigated several process conditions, including the effect of annealing sputtered and spincoated ZnO seed layers on nanowire growth, to optimize array property metrics-branching from individual template holes and off-normal alignment. We found that decreasing template hole size decreased branching prevalence but also reduced alignment. Annealing seed layers typically improved alignment, and sputtered seed layers yielded nanowire arrays superior to spincoated seed layers. We show that these effects arose from variation in the size of the template holes relative to the ZnO grain size in the seed layer. The quantitative control of branching and alignment of the nanowire array that is achieved in this study will open new paths toward engineering more efficient electrodes to increase photocurrent in nanostructured PVs. This control is also applicable to inorganic nanowire growth in general, nanomechanical generators, nanowire transistors, and surface-energy engineering.

Journal ArticleDOI
TL;DR: Electron tomography in combination with electron energy-loss spectroscopy experiments and simulations was used to unravel the interplay between structure and plasmonic properties of a silver nanocuboid dimer, overcomes the need for geometrical assumptions or symmetry restrictions of the sample in simulations and paves the way for detailed investigations of realistic and complex plAsmonic nanostructures.
Abstract: Electron tomography in combination with electron energy-loss spectroscopy (EELS) experiments and simulations was used to unravel the interplay between structure and plasmonic properties of a silver nanocuboid dimer. The precise 3D geometry of the particles fabricated by means of electron beam lithography was reconstructed through electron tomography, and the full three-dimensional information was used as an input for simulations of energy-loss spectra and plasmon resonance maps. Excellent agreement between experiment and theory was found throughout, bringing the comparison between EELS imaging and simulations to a quantitative and correlative level. In addition, interface mode patterns, normally masked by the projection nature of a transmission microscopy investigation, could be unambiguously identified through tomographic reconstruction. This work overcomes the need for geometrical assumptions or symmetry restrictions of the sample in simulations and paves the way for detailed investigations of realistic and complex plasmonic nanostructures.

Journal ArticleDOI
TL;DR: The morphology of NAs has little influence on the SERS performance of hybrid NA substrates and they perform better than both their counterparts pure NA and disordered nanoparticle substrates.
Abstract: Here we present an in-depth and comprehensive study of the effect of the geometry and morphology of nanoarray (NA) substrates on their surface-enhanced Raman scattering (SERS) performance. The high-quality SERS-active NA substrates of various unit shapes and pitches are assembled through electron beam lithography and fabricated by electron beam physical vapor deposition. Good agreement is found on comparing the Raman scattering results with the integrals of the fourth power of local electric fields from the three-dimensional numerical simulations. A novel type of hybrid NA substrate composed of disordered nanoparticles and a periodic NA is fabricated and characterized. The morphology of NAs has little influence on the SERS performance of hybrid NA substrates and they perform better than both their counterparts pure NA and disordered nanoparticle substrates.

Journal ArticleDOI
TL;DR: In this paper, single crystalline diamond nanowires of different sizes and shapes were fabricated with electron beam lithography and inductively coupled plasma etching using oxygen, and simulations were done with Comsol multiphysics in order to determine the dependency between shape and guidance properties.

Proceedings ArticleDOI
TL;DR: In this article, the authors investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution and found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation.
Abstract: Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.

Journal ArticleDOI
TL;DR: This work presents the first, to their knowledge, experimental demonstration of a titanium dioxide slot waveguide operating in the visible range of light.
Abstract: We present the first, to our knowledge, experimental demonstration of a titanium dioxide slot waveguide operating in the visible range of light. Ring resonators based on slot waveguides were designed, fabricated, and characterized for λ≃650 nm. The fabrication method includes atomic layer deposition, electron beam lithography, and reactive ion etching. The required narrow slot widths of a few tens of nanometers were achieved by using a conformal atomic layer re-coating technique. This unique feature-size-reduction technique was applied after the final etching step.

Journal ArticleDOI
TL;DR: It is demonstrated that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties.

Journal ArticleDOI
TL;DR: The anchoring energy of liquid crystals was shown to be tunable by surface nanopatterning of periodic lines and spaces using hydrogen silsesquioxane negative tone electron beam resist, and this energy is tunable over an order of magnitude.
Abstract: The anchoring energy of liquid crystals was shown to be tunable by surface nanopatterning of periodic lines and spaces. Both the pitch and height were varied using hydrogen silsesquioxane negative tone electron beam resist, providing for flexibility in magnitude and spatial distribution of the anchoring energy. Using twisted nematic liquid crystal cells, it was shown that this energy is tunable over an order of magnitude. These results agree with a literature model which predicts the anchoring energy of sinusoidal grooves.

Journal ArticleDOI
TL;DR: In this paper, an array of asymmetric denticles with two-directional gradients on different length-scales were used to realize a bio-inspired surface topography for ceramic powder injection molding.

Journal ArticleDOI
TL;DR: In this article, a linear tapered waveguide channel was fabricated with air and imbedded in silicon for the hard x-ray regime, using a processing scheme involving e-beam lithography, reactive ion etching, and wafer bonding.
Abstract: We have fabricated linear tapered waveguide channels filled with air and imbedded in silicon for the hard x-ray regime, using a processing scheme involving e-beam lithography, reactive ion etching, and wafer bonding. Beam compression in such channels is demonstrated by coupling a pre-focused undulator beam into the channels, and recording the exit flux and far-field diffraction patterns. We achieved a compressed beam with a spot size of 16.48 nm (horizontal) × 14.6 nm (vertical) near the waveguide exit plane, as determined from the reconstructed near-field distribution, at an exit flux which is eight times higher than that of an equivalent straight channel. Simulations indicate that this gain could reach three to four orders of magnitude for longer channels with tapering in two directions.

Journal ArticleDOI
TL;DR: In this article, a polymeric PEDOT:PSS film was constructed with Photonic Quasi Crystals (QC) to improve light extraction and control spectral tunability.
Abstract: A polymeric PEDOT:PSS film nanostructured with Photonic Quasi Crystals that opens the path towards more efficient white OLEDs is presented. For the first time three different quasi crystal families were fabricated (octagonal, dodecagonal and Thue-Morse) onto a conductive polymeric film combining high-resolution electron beam lithography (EBL) and plasma etching techniques to improve light extraction and to control spectral tunability. The efficiency gain obtained in light extraction holds great promise for the use of quasi crystals as functional components in polymeric based White Organic Light Emitting Diode (WOLED) devices.