scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 1998"


Journal ArticleDOI
19 Jun 1998-Science
TL;DR: By ion irradiation through a lithographically made resist mask, the magnetic properties of cobalt-platinum simple sandwiches and multilayers were patterned without affecting their roughness and optical properties.
Abstract: By ion irradiation through a lithographically made resist mask, the magnetic properties of cobalt-platinum simple sandwiches and multilayers were patterned without affecting their roughness and optical properties. This was demonstrated on arrays of 1-micrometer lines by near- and far-field magnetooptical microscopy. The coercive force and magnetic anisotropy of the irradiated regions can be accurately controlled by the irradiation fluence. If combined with high-resolution lithography, this technique holds promise for ultrahigh-density magnetic recording applications.

761 citations


Journal ArticleDOI
TL;DR: The IBM SU-8 resist as discussed by the authors is an epoxy-based resist designed specifically for ultrathick, high-aspect-ratio MEMS-type applications and it has been shown that with single-layer coatings, thicknesses of more than 500 μm can be achieved reproducibly.
Abstract: Detailed investigations of the limits of a new negative-tone near-UV resist (IBM SU-8) have been performed. SU-8 is an epoxy-based resist designed specifically for ultrathick, high-aspect-ratio MEMS-type applications. We have demonstrated that with single-layer coatings, thicknesses of more than 500 μm can be achieved reproducibly. Thicker resist layers can be made by applying multiple coatings, and we have achieved exposures in 1200 μm thick, double-coated SU-8 resist layers. We have found that the aspect ratio for near-UV (400 nm) exposed and developed structures can be greater than 18 and remains constant in the thickness range between 80 and 1200 μm. Vertical sidewall profiles result in good dimensional control over the entire resist thickness. To our knowledge, this is the highest aspect ratio reported for near-UV exposures and the given range of resist thicknesses. These results will open up new possibilities for low-cost LIGA-type processes for MEMS applications. The application potential of SU-8 is demonstrated by several examples of devices and structures fabricated by electroplating and photoplastic techniques. The latter is especially interesting as SU-8 has attractive mechanical properties.

613 citations


Journal ArticleDOI
26 Feb 1998-Nature
TL;DR: In this article, the authors show that the domains of a phase-separating mixture of polymers in a thin film can be guided into arbitrary structures by a surface with a prepatterned variation of surface energies.
Abstract: Phase separation in bulk mixtures commonly leads to an isotropic, disordered morphology of the coexisting phases1. The presence of a surface can significantly alter the phase-separation process, however2,3. Here we show that the domains of a phase-separating mixture of polymers in a thin film can be guided into arbitrary structures by a surface with a prepatterned variation of surface energies. Such a pattern can be imposed on a surface by using printing methods for depositing microstructured molecular films4, thereby allowing for such patterns to be readily transferred to a two-component polymer film. This approach might provide a simple means for fabricating polymer-based microelectronic circuits5 or polymer resists for lithographic semiconductor processing.

549 citations


Journal ArticleDOI
TL;DR: In this paper, the authors evaluate hydrogen silsesquioxane (HSQ) with a three-dimensional framework from the standpoints of resist patterning and its ability to reduce linewidth fluctuation.
Abstract: Linewidth fluctuation of resist patterns is a serious problem in fabricating nanodevices when lithographic resolution is improved to the nanometer scale. As a resist material for reducing linewidth fluctuations, we evaluate hydrogen silsesquioxane (HSQ) with a three-dimensional framework from the standpoints of resist patterning and its ability to reduce linewidth fluctuation. Infrared analyses indicate that SiH bonds in HSQ are broken by electron-beam irradiation, and consequently, the crosslinking required for negative tone patterning is generated. By applying a TMAH developer suitable for the dissolution of the siloxane bonds in HSQ, we improve contrast and reduce the thickness loss of the lightly exposed resist area. In addition, the HSQ resist has relatively high sensitivity for resist materials without any reactive groups. The etching durability sacrificed for the attainment of high sensitivity is improved by oxygen plasma treatment. No damage, such as pattern-shape deformation after the oxygen plasma treatment, is observed. Linewidth fluctuation due to edge roughness of resist patterns results from aggregates in the resist polymer. Aggregates in HSQ are small owing to its three-dimensional framework. In addition, the excellent development property of HSQ avoids any influence from polymer aggregates on development. Consequently, linewidth fluctuation can be reduced to less than 2 nm. This leads to a decrease in the variation of gate capacitance in single-electron transistors.

299 citations


Patent
24 Feb 1998
TL;DR: In this paper, an improved technique for inspecting photomasks employs simulated images of the resist pattern, compared to a simulated image generated from a pattern captured from a photomask manufactured from the original pattern.
Abstract: An improved technique for inspecting photomasks employs simulated images of the resist pattern. A simulated image of an original pattern is compared to a simulated image generated from a pattern captured from a photomask manufactured from the original pattern. Alternatively, simulated images generated from captured data from two different instances of the same original pattern formed in a photomask are compared.

259 citations


Journal ArticleDOI
TL;DR: In this paper, the authors explore the origin and character of the limits of the patterning process and demonstrate how best to use microcontact printing with elastomeric stamps and alkanethiols for printing monolayers of eicosanethiol on gold.
Abstract: Microcontact printing (μCP) with elastomeric stamps is a versatile method to modify the chemistry of surfaces. We explore this patterning process here, examining the origin and character of its limits and demonstrating how best to use μCP and alkanethiols for lithography on gold at submicron scales. We find that, ultimately, this type of lithography is constrained by the need to carry the monolayer-forming reaction to completion, with significant degradation of the accuracy of prints at sub-500-nm scales due to molecular diffusion. Printing monolayers of eicosanethiol on gold proved to be the best practical compromise between self-assembly of the resist and its transport along the stamp and substrate interfaces as well as through the gas phase. Results for this system were particularly sensitive to the geometry of the stamp and how eicosanethiol was placed on, and transferred from, its surface to the gold. Our experiments, and simulations that corroborate them, show the relative importance of the various ...

255 citations


Journal ArticleDOI
TL;DR: In this article, the mechanical and thermal properties of the SU-8 resist have been analyzed using a single spin process with a UV aligner, which produces outstanding aspect ratios, 15 for lines and trenches.

219 citations


Journal ArticleDOI
01 Aug 1998
TL;DR: In this article, the authors present a high-aspectratio microsystems (HARMST) processing sequence that uses large-area, sequential X-ray masks without diaphragms.
Abstract: High-aspect-ratio microsystems technology (HARMST) can be implemented by using thick photoresist technology, which requires X-ray photons for exposure. This was first realized in Germany via the so-called LIGA process. To make this process cost effective, exposures with high-energy photons were introduced in 1993 via a University of Wisconsin-Brookhaven National Laboratory cooperation. The addition of a solvent bonded resist technology and replanarization after electroplating and X-ray mask aligning yield a HARMST processing sequence that uses large-area, sequential X-ray masks without diaphragms. This technology may be applied to precision engineered parts which do not involve electronics. The processing sequence is also used for high performance linear and rotational, magnetic and electrostatic actuators. System applications in optics involve spectrometers and other devices. A discussion of US and world wide efforts in HARMST points at increasing demands for this type of processing tool.

186 citations


Patent
Hun-Jan Tao1, Chia-Shiung Tsai1
28 Sep 1998
TL;DR: In this paper, a method of patterning a polysilicon gate using an oxide hard mask using a novel 4-step insitu etch process is presented, where all 4 etch steps are performed insitu in a poly-silicon high density plasma (TCP--transformer coupled plasma) etcher.
Abstract: A method of patterning a polysilicon gate using an oxide hard mask using a novel 4 step insitu etch process. All 4 etch steps are performed insitu in a polysilicon high density plasma (TCP--transformer coupled plasma) etcher. A multi-layered semiconductor structure 35 (FIG. 1) is formed comprising: a substrate 10, a gate oxide layer 14, a polysilicon layer 18, a hard mask layer 22, and a bottom anti-reflective coating (BARC) layer 26 and a resist layer 30. The 4 step insitu etch process comprises: a) in STEP 1, etching the bottom anti-reflective coating (BARC) layer by flowing HBr and O 2 gasses, and applying a first TCP Power and a first Bias power; b) in STEP 2, etching the hard mask by flowing a flouorocarbon gas; and applying a second TCP Power and second Bias power; c) in STEP 3--stripping the bottom anti-reflective coating (BARC) layer by flowing oxygen and applying a third TCP Power and a third Bias power; d) in STEP 4--etching the polysilicon layer by flowing chlorine species, oxygen species; Helium species and bromine gas species and applying a fourth TCP Power and a fourth Bias power.

171 citations


Journal ArticleDOI
TL;DR: In this article, an innovative method for fabrication and rapid prototyping of high-aspect ratio micromechanical components in photoresist is discussed, and a process called MIMOTEC(TM) (MIcroMOlds TEChnology) is established for the fabrication of metallic micromolds.
Abstract: An innovative method for fabrication and rapid prototyping of high-aspect ratio micromechanical components in photoresist is discussed. The photoresist is an epoxy-negative-tone resist, called SU-8, which can be structured to more than 2 mm in thickness by UV exposure. Small gears of 530 mu m in diameter and 200 mu m in thickness have been realized in this photoplastic and their functionality has been demonstrated. In addition a process called MIMOTEC(TM) (MIcroMOlds TEChnology) has been established for the fabrication of metallic micromolds. MIMOTEC(TM) is based on the use of the SU-8 spun on high thicknesses and electrodeposition of nickel. Thermoplastic microcomponents have been injected and mounted in watches.

165 citations


Journal ArticleDOI
TL;DR: In this article, a new resist system providing small linewidth fluctuation has been developed for nanolithography Hydrogen silsesquioxane (HSQ) resist used here has a small polymer size because of its three-dimensional framework.

Journal ArticleDOI
TL;DR: In this article, the authors describe the design and operating characteristics of a deep-ultraviolet interferometric lithography tool designed specifically for the study of high resolution chemically amplified resists and provide an example of its use to evaluate resist response to controlled variations in aerial image contrast.
Abstract: The precise control of the exposure step provided by interferometric photolithography facilitates studies of chemically amplified resist physics, chemistry, and functional properties that are difficult using more conventional exposure techniques. We describe here the design and operating characteristics of a deep-ultraviolet interferometric lithography tool designed specifically for the study of high resolution chemically amplified resists. We provide an example of its use to evaluate resist response to controlled variations in aerial image contrast.

Journal ArticleDOI
TL;DR: In this paper, an elastomeric phase mask was used in conformal contact with photoresist to generate ∼90nm lines in commercially available photoresists, using broadband, incoherent light with wavelengths between 330 and 460 nm.
Abstract: This article describes a near-field photolithographic method that uses an elastomeric phase mask in conformal contact with photoresist. The method is capable of generating ∼90 nm lines in commercially available photoresist, using broadband, incoherent light with wavelengths between 330 and 460 nm. Transfer of these patterns into silicon dioxide and gold demonstrates the integrity of the patterned resist.

Journal ArticleDOI
TL;DR: In this article, self-assembling resists were synthesized to produce either a layer of hexagonally ordered polyisoprene (PI) spheres or parallel cylinders of polybutadiene (PB) in a polystyrene (PS) matrix.
Abstract: Dense, periodic arrays of holes and troughs have been fabricated in silicon, silicon nitride, and germanium. The holes are approximately 20 nanometers (nm) wide, 20 nm deep, spaced 40 nm apart, and uniformly patterned with 3×1012 holes on a three inch wafer. To access this length scale, self-assembling resists were synthesized to produce either a layer of hexagonally ordered polyisoprene (PI) spheres or parallel cylinders of polybutadiene (PB) in a polystyrene (PS) matrix. The PI spheres or PB cylinders were then degraded and removed with ozone to produce a PS mask for pattern transfer by fluorine-based reactive ion etching. A PS mask of spherical voids was used to fabricate a lattice of holes and a mask of cylindrical voids was used to produce parallel troughs. This technique accesses a length scale difficult to produce by conventional lithography and opens a route for the patterning of surfaces via self-assembly.

Patent
18 Dec 1998
TL;DR: In this paper, a dielectric layer is formed upon a substrate that is substantially conformal to the upper surface of the substrate and a subsequent etch forms a depression within the depression and a ledge on the surface that is adjacent to the depression.
Abstract: The present invention relates to the formation of a ball grid array testing receiver that is scalable for design consideration of miniaturization. A dielectric layer is formed upon a substrate that is substantially conformal to the upper surface of the substrate. A patterned masking layer is formed upon the dielectric layer and a subsequent etch forms a depression within the substrate and forms a ledge on the surface of the substrate that is adjacent to the depression. After formation of the ledge, a metal layer is formed continuously on the ledge and within the depression. Following the formation of the metal layer, a masking layer is formed upon the metal layer. The masking layer is patterned so as to form a desired arrangement of metal lines by etching the underlying metal layer. The formation of the ledge enables the masking layer to resist formation of a breach between the surface of the substrate and the depression. As such, metal lines are formed so as to extend into the depression without a breach that would otherwise leave an open circuit during attempted use.

Journal ArticleDOI
TL;DR: Ions are particularly well suited for this because they suffer little or no scattering in the resist, the linewidth is not a strong function of dose (good process latitude), and the resist sensitivity is relatively independent to resist thickness or ion energy as discussed by the authors.
Abstract: Although optical lithography has been extended to far smaller dimensions than was predicted 15 years ago, there are definite physical barriers to extending it to the minimum dimensions of 70 nm that are projected to be required 15 years from now. Both focused, point electron beams and ion beams have been used to write dimensions in resist well below 20 nm, albeit at speeds far too slow for production lithography. Projection systems, which employ a mask and, in effect, produce a large array of beams, can provide both small minimum dimensions and high throughput. Ions are particularly well suited for this because they suffer little or no scattering in the resist, the linewidth is not a strong function of dose (good process latitude), and the resist sensitivity is relatively independent to resist thickness or ion energy. IMS in Vienna, Austria has built two generations of ion projection lithography systems which have demonstrated many of the features needed for high throughput lithography. In these systems a...

Patent
Ronald A. Barr1
27 May 1998
TL;DR: The magneto resistive read head (MRSH) as discussed by the authors was the first read head to have a planar sensing surface with abutting planar side walls orthogonal to the sensing surface.
Abstract: The novel method of fabrication of the present invention allows conventional or other lithography machines to produce very small well defined thin film structures or elements. The present invention provides a method to produce well defined elements, including sensors or read heads well below 0.1 microns, even when using conventional photolithography processes. The presently preferred method for forming the thin film device of the present invention includes depositing and exposing resist so as to define a first thin resist stripe. A portion of the resist is removed leaving a first thin strip covering a portion of the first material layer. The uncovered portion of the first material layer is removed to leave a first material strip. A second resist layer is deposited and exposed so as to define a second thin stripe intersecting the first material strip. A portion of the second resist layer is removed so as to leave a second thin strip of resist covering a portion of the first material strip. The uncovered portion of the first material strip is removed leaving the small thin film element. Typically, the second thin strip of resist is formed perpendicular to the first material strip thereby providing a very small thin film element having planar surfaces with precisely defined squared corners. A feature of the present invention is that it provides a small magneto-resistive read head having a planar sensing surface with abutting planar side walls orthogonal to the sensing surface thereby providing a well defined small sensor element.

Journal ArticleDOI
TL;DR: In this paper, the linewidth fluctuations observed as line edge roughness are mainly caused by polymer aggregates in the resist materials, which remain stuck on the pattern sidewall, and cause linwidth fluctuations.
Abstract: We report on the linewidth fluctuations in resist patterns and their influence on etched patterns. The linewidth fluctuations observed as line edge roughness are mainly caused by polymer aggregates in the resist materials. Polymer aggregates more than 30 nm in diameter are observed in both the positive and negative resist films. Because the polymer aggregates are not dissolved but extracted during development, they remain stuck on the pattern sidewall and cause linewidth fluctuations. When substrates, such as Si, are etched using resist patterns as a mask, the linewidth fluctuations of the resist patterns are faithfully transferred to the substrate. This means that the linewidth fluctuations in device patterns are originally due to the polymer aggregates in the resist films. The linewidth fluctuation is also found to decrease through substrate etching, depending on resist thickness loss. This possibly results from degradation of the resist patterns in lateral directions through etching. However, it does n...

Patent
16 Jan 1998
TL;DR: In this article, a method for manufacturing semiconductor devices by which the effective resist thickness formed in a lower level can be easily controlled, without introducing multiple dummy wafers in a lot aside from wafer for formation of devices.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing semiconductor device by which the effective resist thickness formed in a lower level can be easily controlled, without introducing multiple dummy wafers in a lot aside from wafers for formation of devices SOLUTION: Dummy recesses whose width changes in the direction of the length are provided on a semiconductor substrate, at the same time as formation of recesses on the substrate Photoresist is applied to an area, including the recesses The substrate is exposed to light through a photomask to form a gate pattern 23 and a dummy gate pattern 24 in each recess The change in the width of the dummy gate pattern 24 is observed, and the thickness of a photoresist film 5 is optimized, according to the change of the position

Journal ArticleDOI
TL;DR: Light-coupling masks (LCM) as mentioned in this paper allow pattern replication at high resolution and densities over large areas in photoresist without the need for elaborate projection optics, where the light is differentially guided by the structures towards the substrate.
Abstract: Light-coupling masks (LCMs) based on structured organic polymers that make conformal contact with a substrate can constitute an amplitude mask for light-based lithographies. The LCM is exposed through its backside, from where the light is differentially guided by the structures towards the substrate. Images of arbitrarily shaped features having dimensions much smaller than that of the vacuum wavelength of the exposing light are formed in the resist in a 1:1 correspondence to their size in light-guiding portions of the mask. LCMs allow pattern replication at high resolution and densities over large areas in photoresist without the need for elaborate projection optics.

Journal ArticleDOI
TL;DR: In this paper, five multilayer resist methods (three positive tones and two negative tones) have been devised for nano-print lithography on nonflat surfaces and three of the methods have been demonstrated experimentally on a SiO2 surface with 100 nm deep sharp steps.
Abstract: Five multilayer resist methods (three positive tones and two negative tones) have been devised for nanoimprint lithography on nonflat surfaces. Three of the methods have been demonstrated experimentally on a SiO2 surface with 100 nm deep sharp steps. The advantages and disadvantages of each method are discussed. Our results should be applicable to nanoimprint lithography with 10 nm feature size on nonflat surfaces.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the possiblities of hot embossing lithography as a new nanoreplication technique and successfully replicated different structures with feature sizes down to 50 nm into a resist over an area of up to 10 cm 2.

Journal ArticleDOI
TL;DR: In this paper, the spectral distribution of the BESSY I wavelength shifter, Berlin (0.8 GeV, 5 T) equipped with several vacuum windows and a mask membrane made of beryllium with a thickness of 500 μm.
Abstract: Deep x-ray lithography (DXRL) with synchrotron radiation represents the technological core of the Lithographie, Galvanoformung, Abformung (LIGA) microfabrication process, thus defining the lateral shape and the accuracy of the final product. High aspect ratio microcomponents with a height of some micrometers up to several millimeters can be manufactured with submicron precision. We report on recently performed theoretical investigations on the structure transfer accuracy in the shadow printing process. Model calculations revealed the importance of photoelectron emission compared to Fresnel diffraction and beam divergence for typical DXRL conditions. The parameters used to model the effects correspond to the spectral distribution of the BESSY I wavelength shifter, Berlin (0.8 GeV, 5 T) equipped with several vacuum windows and a mask membrane made of beryllium with a thickness of 500 μm. A poly(methylmethacrylate) resist layer of 300 μm thickness with a bottom dose of 5 kJ cm−3 is assumed. The calculated do...

Journal ArticleDOI
TL;DR: In this article, the authors compared the exposure properties of EBL and scanning probe lithography (SPL) and found that SPL requires a significantly higher incident electron dose for exposure than does EBL.
Abstract: Electron beam lithography (EBL) and scanning probe lithography (SPL) are electron exposure techniques capable of high resolution patterning of organic resists. This article compares the exposure properties of these two systems. We consider the resist sensitivity to EBL and SPL electrons, exposure tolerances, patterning linearity, and proximity effects. It is possible to print sub-50 nm features using both systems, but SPL has a wider exposure latitude at these small feature sizes. SPL requires a significantly higher incident electron dose for exposure than does EBL. In EBL, lithography control is most limited by proximity effects which arise from backscattered electrons whose range is considerably larger than the forward scattering range in the resist film. As a result, the exposed feature dimension depends strongly on the local feature density and size, leading to unacceptable linewidth variations across a wafer. These limitations are alleviated in the case of SPL exposures. We demonstrate improved linearity and reduced proximity effects with SPL. We have patterned 200 nm pitch grids with SPL where all individual features are resolved. The linewidth of features in these grids is the same as the width of an isolated line at the same dose. Finally, we suggest that the SPL exposure mechanism may be different than that for EBL.

Proceedings ArticleDOI
25 Jan 1998
TL;DR: In this article, a new approach for the realization of true 3D polymer structures is presented, which consists in adding, in a post-processing microstereolithography step, threeD polymer microstructures on top of a micropart patterned by means of planar processes such as thin films, bulk silicon etching or high aspect ratio structuration (LIGA, RIE, thick resist).
Abstract: A new approach for the realization of true 3D polymer structures is presented in this paper. It consists in adding, in a post-processing microstereolithography step, 3D polymer microstructures on top of a micropart patterned by means of planar processes such as thin films, bulk silicon etching or high aspect ratio structuration (LIGA, RIE, thick resist). This way, some shape limitations of the planar technologies can be the new functional applications. Direct processing of microstereolithography on predefined structures prevents manipulations which are associated with microassembly of separated parts. To demonstrate this combination of microstructuration processes, an example showing a conical axle added by microstereolithography on a SU-8 piece of gearing is presented.

Journal ArticleDOI
TL;DR: A bilayer resist system consisting of a 3 nm thick titanium (Ti) layer on top of a 65 nm thick poly(methylmethacrylate) (PMMA) layer was developed for mechanical nanolithography with the atomic force microscope as discussed by the authors.
Abstract: A novel bilayer resist system consisting of a 3 nm thick titanium (Ti) layer on top of a 65 nm thick poly(methylmethacrylate) (PMMA) layer was developed for mechanical nanolithography with the atomic force microscope. The ultrathin Ti layer allowed 20 nm resolution patterning with conventional silicon cantilevers, provided a proper force-depth calibration was performed before lithography. Techniques of pattern transfer were applied to fabricate chromium nanostructures and silicon nanowires from the patterned Ti/PMMA resist.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate a fabrication method to define high-density, uniform nanostructures by electron beam lithography at conventional beam voltages (< 40 kV) using polymethylmethacrylate as positive resist and isopropyl alcohol as a developer.
Abstract: We demonstrate a fabrication method to define high-density, uniform nanostructures by electron beam lithography at conventional beam voltages (< 40 kV). Here we optimize the exposure and development conditions needed to generate such nanostructure arrays using polymethylmethacrylate as positive resist and isopropyl alcohol as a developer. Arrays of 12 nm dots with 25 nm period and 20 nm lines with 40 nm period were fabricated to show the resolution of this optimized process.

Journal ArticleDOI
TL;DR: The developed lithography computer aided design (CAD) technology has improved the accuracy of pattern formation for state of the art device fabrication and has achieved significant improvement with respect to pattern printing fidelity between designed and printed resist patterns.
Abstract: We have developed an optical proximity effect correction (OPC) flow for both, the repetitive memory cell patterns by a simulation-based method and for the random logic application specific IC (ASIC) patterns by a rule-based method. Application results for a 0.28 µm static random access memory (SRAM) cell and 0.25 µm ASIC devices have shown that correction time and final data size were feasible for conventional layout design flow and mask fabrication. Also, our system has achieved significant improvement with respect to pattern printing fidelity between designed and printed resist patterns. In addition to this, an automatic alternative phase shift mask (PSM) pattern layout tool has been developed. Using the tool with a double exposure method, 0.16 µm gate patterns for both logic and dynamic random access memory (DRAM) have been obtained with a positive photoresist. The developed lithography computer aided design (CAD) technology has improved the accuracy of pattern formation for state of the art device fabrication. The fabricated patterns have satisfied the required linewidth accuracy, within ±10% of the design value, ones under the practical exposure-focus window.

Journal ArticleDOI
TL;DR: In this paper, the authors used interferometric lithography to fabricate arrays of cobalt and nickel pillars with periods of 200 nm over areas of 5 cm/spl times/5 cm using a UV laser.
Abstract: Patterned arrays of magnetic elements may be useful as media for high density magnetic storage applications. Interferometric lithography has been used to fabricate arrays of cobalt and nickel pillars with periods of 200 nm over areas of 5 cm/spl times/5 cm using a UV laser. This provides an economical and rapid method for manufacturing particle arrays.

Journal ArticleDOI
TL;DR: In this paper, the application of chemical derivatives of C60 as high-resolution electron beam resists was explored, and the results showed that these derivatives function as high resolution negative resists for electron beam lithography using monochlorobenzene as a developer.
Abstract: We have explored the application of chemical derivatives of C60 as high-resolution electron beam resists. Facile spin coating was used to produce ∼100-nm-thick films of a C60 tris adduct (three functional groups) on Si surfaces. We find that these films function as high-resolution negative resists for electron beam lithography using monochlorobenzene as a developer. The film has a sensitivity of ∼1 mC/cm2 for 20 keV electrons, an order of magnitude higher than that of C60 itself, and the dry-etch durability is much better than that of conventional novolac based electron beam resists. Features with widths of 20 nm were produced.