scispace - formally typeset
Search or ask a question

Showing papers on "Silicon published in 1990"


Journal ArticleDOI
TL;DR: In this paper, free standing Si quantum wires can be fabricated without the use of epitaxial deposition or lithography using electrochemical and chemical dissolution steps to define networks of isolated wires out of bulk wafers.
Abstract: Indirect evidence is presented that free‐standing Si quantum wires can be fabricated without the use of epitaxial deposition or lithography. The novel approach uses electrochemical and chemical dissolution steps to define networks of isolated wires out of bulk wafers. Mesoporous Si layers of high porosity exhibit visible (red) photoluminescence at room temperature, observable with the naked eye under <1 mW unfocused (<0.1 W cm−2) green or blue laser line excitation. This is attributed to dramatic two‐dimensional quantum size effects which can produce emission far above the band gap of bulk crystalline Si.

7,393 citations


Journal ArticleDOI
TL;DR: In this paper, the authors measured the far-infrared absorption and dispersion from 0.2 to 2 THz of the crystalline dielectrics sapphire and quartz, fused silica, and the semiconductors silicon, gallium arsenide, and germanium.
Abstract: Using the method of time-domain spectroscopy, we measure the far-infrared absorption and dispersion from 0.2 to 2 THz of the crystalline dielectrics sapphire and quartz, fused silica, and the semiconductors silicon, gallium arsenide, and germanium. For sapphire and quartz, the measured absorptions are consistent with the earlier work below 0.5 THz. Above 1 THz we measure significantly more absorption for sapphire, while for quartz our values are in reasonable agreement with those of the previous work. Our results on high-purity fused silica are consistent with those on the most transparent fused silica measured to date. For the semiconductors, we show that many of the previous measurements on silicon were dominated by the effects of carriers due to impurities. For high-resistivity, 10-kΩ cm silicon, we measure a remarkable transparency together with an exceptionally nondispersive index of refraction. For GaAs our measurements extend the precision of the previous work, and we resolve two weak absorption features at 0.4 and 0.7 THz. Our measurements on germanium demonstrate the dominant role of intrinsic carriers; the measured absorption and dispersion are well fitted by the simple Drude theory.

2,084 citations


Journal ArticleDOI
TL;DR: In this article, the anisotropic etching behavior of single-crystal silicon and the behavior of and in an ethylenediamine-based solution as well as in aqueous,, and were studied.
Abstract: The anisotropic etching behavior of single‐crystal silicon and the behavior of and in an ethylenediaminebased solution as well as in aqueous , , and were studied. The crystal planes bounding the etch front and their etch rates were determined as a function of temperature, crystal orientation, and etchant composition. A correlation was found between the etch rates and their activation energies, with slowly etching crystal surfaces exhibiting higher activation energies and vice versa. For highly concentrated solutions, a decrease of the etch rate with the fourth power of the water concentration was observed. Based on these results, an electrochemical model is proposed, describing the anisotropic etching behavior of silicon in all alkaline solutions. In an oxidation step, four hydroxide ions react with one surface silicon atom, leading to the injection of four electrons into the conduction band. These electrons stay localized near the crystal surface due to the presence of a space charge layer. The reaction is accompanied by the breaking of the backbonds, which requires the thermal excitation of the respective surface state electrons into the conduction band. This step is considered to be rate limiting. In a reduction step, the injected electrons react with water molecules to form new hydroxide ions and hydrogen. It is assumed that these hydroxide ions generated at the silicon surface are consumed in the oxidation reaction rather than those from the bulk electrolyte, since the latter are kept away from the crystal by the repellent force of the negative surface charge. According to this model, monosilicic acid is formed as the primary dissolution product in all anisotropic silicon etchants. The anisotropic behavior is due to small differences of the energy levels of the backbond surface states as a function of the crystal orientation.

1,529 citations


Journal ArticleDOI
TL;DR: In this article, the effect of varying the solution pH on the surface structure was studied by measuring the SiH stretch vibrations with infrared absorption spectroscopy, and the surface was found to be very homogeneous with low defect density (<0.5%) and narrow vibrational linewidth.
Abstract: Aqueous HF etching of silicon surfaces results in the removal of the surface oxide and leaves behind silicon surfaces terminated by atomic hydrogen. The effect of varying the solution pH on the surface structure is studied by measuring the SiH stretch vibrations with infrared absorption spectroscopy. Basic solutions ( pH=9–10) produce ideally terminated Si(111) surfaces with silicon monohydride ( 3/4 SiH) oriented normal to the surface. The surface is found to be very homogeneous with low defect density (<0.5%) and narrow vibrational linewidth (0.95 cm−1 ).

1,250 citations


Journal ArticleDOI
TL;DR: In this article, the control factors controlling the growth of native silicon oxide on silicon (Si) surfaces have been identified, and the chemical bond structures for native oxide films grown in air and in ultrapure water are also discussed.
Abstract: The control factors controlling the growth of native silicon oxide on silicon (Si) surfaces have been identified. The coexistence of oxygen and water or moisture is required for growth of native oxide both in air and in ultrapure water at room temperature. Layer‐by‐layer growth of native oxide films occurs on Si surfaces exposed to air. Growth of native oxides on n‐Si in ultrapure water is described by a parabolic law, while the native oxide film thickness on n +‐Si in ultrapure water saturates at 10 A. The native oxide growth on n‐Si in ultrapure water is continuously accompanied by a dissolution of Si into the water and degrades the atomic flatness at the oxide‐Si interface, producing a rough oxide surface. A dissolution of Si into the water has not been observed for the Si wafer having surface covered by the native oxide grown in air. Native oxides grown in air and in ultrapure de‐ionized water have been demonstrated experimentally to exhibit remarkable differences such as contact angles of ultrapure waterdrops and chemical binding energy. These chemical bond structures for native oxide filmsgrown in air and in ultrapure water are also discussed.

803 citations


Journal ArticleDOI
TL;DR: In this article, the spontaneous trench formation in n-type silicon immersed in hydrofluoric acid under anodic bias is demonstrated and the resulting microstructures are characterized and explained by a model which takes into account the conditions of the space charge region the minority carrier current and the crystal orientation.
Abstract: Three‐dimensional structures in silicon are increasingly coming into use for the fabrication of mechanical and electrical devices. The fabrication of deep trenches is one of the most important problems in VLSI (very large scale integration) technology. In this study the spontaneous trench formation in n‐type silicon immersed in hydrofluoric acid under anodic bias is demonstrated and the resulting microstructures are characterized. Trenches with arbitrary cross sections and high aspect ratios for microelectronic (e.g., 42 μm depth and 0.6 μm diam) and for power component application (e.g., 60 μm depth and 10 μm diam) have been produced by a standard masking technique. The trench formation is explained by a model which takes into account the conditions of the space charge region the minority carrier current and the crystal orientation. A passivating sidewall layer is not needed in this model. The dimensions and the shape of anodically etched trenches can be varied over a wide range by adjusting the critical parameters.

784 citations


Journal ArticleDOI
TL;DR: In this paper, the chemical modification of hydrogen-passivated n-Si surfaces by a scanning tunneling microscope (STM) operating in air is reported, and the modified surface regions have been characterized by STM spectroscopy, scanning electron microscopy (SEM), time-of-flight secondary ion mass spectrometry (TOF SIMS), and chemical etch/Nomarski microscopy.
Abstract: The chemical modification of hydrogen‐passivated n‐Si (111) surfaces by a scanning tunneling microscope (STM) operating in air is reported. The modified surface regions have been characterized by STM spectroscopy, scanning electron microscopy (SEM), time‐of‐flight secondary‐ion mass spectrometry (TOF SIMS), and chemical etch/Nomarski microscopy. Comparison of STM images with SEM, TOF SIMS, and optical information indicates that the STM contrast mechanism of these features arises entirely from electronic structure effects rather than from topographical differences between the modified and unmodified substrate. No surface modification was observed in a nitrogen ambient. Direct writing of features with 100 nm resolution was demonstrated. The permanence of these features was verified by SEM imaging after three months storage in air. The results suggest that field‐enhanced oxidation/diffusion occurs at the tip‐substrate interface in the presence of oxygen.

723 citations


Journal ArticleDOI
TL;DR: In this paper, an inconsistency between commonly used values of the silicon intrinsic carrier concentration, the effective densities of states in the conduction and valence bands, and the silicon band gap is resolved by critically assessing the relevant literature.
Abstract: An inconsistency between commonly used values of the silicon intrinsic carrier concentration, the effective densities of states in the conduction and valence bands, and the silicon band gap is resolved by critically assessing the relevant literature. As a result of this assessment, experimentally based values for the valence‐band ‘‘densities‐of‐states’’ effective mass are determined in the 300–500 K range and are shown to be in good agreement with recent theoretical calculations. At 300 K, experimentally based values of 3.1×1019 cm−3 for the valence‐band effective densities of states and 1.08×1010 cm−3 for the intrinsic carrier concentration are determined. Although in good agreement with theoretical calculations, these are significantly higher and lower, respectively, than commonly used values in the past. These results have important implications in the calculation of other silicon material and device parameters.

600 citations


Journal ArticleDOI
TL;DR: In this article, the physics of the growth mechanisms, characterization of epitaxial structures and device properties of GaAs and other compound semiconductors on Si are reviewed, and the nontrivial problems associated with the heteroepitaxial growth schemes and methods that are generally applied in the growth of lattice mismatched and polar on nonpolar material systems are described in detail.
Abstract: The physics of the growth mechanisms, characterization of epitaxial structures and device properties of GaAs and other compound semiconductors on Si are reviewed in this paper. The nontrivial problems associated with the heteroepitaxial growth schemes and methods that are generally applied in the growth of lattice mismatched and polar on nonpolar material systems are described in detail. The properties of devices fabricated in GaAs and other compound semiconductors grown on Si substrates are discussed in comparison with those grown on GaAs substrates. The advantages of GaAs and other compound semiconductors on Si, namely, the low cost, superior mechanical strength, and thermal conductivity, increased wafer area, and the possibility of monolithic integration of electronic and optical devices are also discussed.

542 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present a review of the NTT HiS technology and its application to integrated-optic components such as optical beam splitters, optical switches, wavelength-division multi/demultiplexers and optical frequency division multi/multiplexers.
Abstract: A marriage of optical fibre fabrication technology and LSI microfabrication technology gave birth to fibre-matched silica waveguides on silicon: thick glass layers of high-silica-content glass are deposited on silicon by flame hydrolysis, a method originally developed for fibre preform fabrication. Silica channel waveguides are then formed by photolithographic pattern definition processes followed by reactive ion etching. This ‘high silica (HiS) technology’ offers the possibility of integrating a number of passive functions on a single silicon chip, as well as the possibility of the hybrid integration of both active and passive devices on silicon. This paper reviews the NTT HiS technology and its application to integrated-optic components such as optical beam splitters, optical switches, wavelength-division multi/demultiplexers and optical frequency-division multi/demultiplexers. The clear and simple waveguide structures produced by the HiS technology make it possible to design and fabricate these components with high precision and excellent reproducibility.

529 citations


Journal ArticleDOI
TL;DR: In this article, the etching behavior of highly boron doped silicon in aqueous solutions based of ethylenediamine, KOH, NaOH, and LiOH was studied.
Abstract: The etching behavior of highly boron doped silicon in aqueous solutions based of ethylenediamine, KOH, NaOH, and LiOH was studied. For all etchants, a strong reduction of the etch rate for boron concentrations exceeding approximately 2 �9 10 ~9 cm -3 was observed. This value is in good agreement with published data for the onset of degeneracy of p-type silicon. The reduction of the etch rate was found to be inversely proportional to the fourth power of the boron concentration. For a given high boron concentration, the etch stop effect was found to be most effective for ethylenediamine-based solutions and low concentration KOH and least effective for highly concentrated KOH. On the basis of these results, a model is proposed attributing the etch stop phenomenon to electrical effects of holes rather than chemical effects of boron. Due to the high dopant concentration the width of the space charge layer on the silicon surface shrinks drastically. Therefore, electrons injected into the conduction band by an oxidation reaction cannot be confined to the surface and rapidly recombine with holes from the valence band. The lack of these electrons impedes the reduction of water and thereby the formation of new hydroxide ions at the silicon surface. Since the transfer of four electrons is required for the dissolution of one silicon atom the observed fourth power law for the decrease of the etch rate can be explained. The reduction of the etch rate on silicon doped with germanium or phosphorus is much smaller and follows a different mechanism.

01 Jan 1990
TL;DR: In this paper, the authors present a review of the NTT HiS technology and its application to integrated-optic components such as optical beam splitters, optical switches, wavelength-division multi/demultiplexers and optical frequency division multi/multiplexers.
Abstract: A marriage of optical fibre fabrication technology and LSI microfabrication technology gave birth to fibre-matched silica waveguides on silicon: thick glass layers of high-silica-content glass are deposited on silicon by flame hydrolysis, a method originally developed for fibre preform fabrication. Silica channel waveguides are then formed by photolithographic pattern definition processes followed by reactive ion etching. This ‘high silica (HiS) technology’ offers the possibility of integrating a number of passive functions on a single silicon chip, as well as the possibility of the hybrid integration of both active and passive devices on silicon. This paper reviews the NTT HiS technology and its application to integrated-optic components such as optical beam splitters, optical switches, wavelength-division multi/demultiplexers and optical frequency-division multi/demultiplexers. The clear and simple waveguide structures produced by the HiS technology make it possible to design and fabricate these components with high precision and excellent reproducibility.

Proceedings ArticleDOI
09 Dec 1990
TL;DR: In this paper, the authors describe the process fabrication and the electrical characteristics of an SOI MOSFET with gate oxide and a gate electrode not only on top of the active silicon film but also underneath it.
Abstract: Describes the process fabrication and the electrical characteristics of an SOI (silicon-on-insulator) MOSFET with gate oxide and a gate electrode not only on top of the active silicon film but also underneath it. Device fabrication is simple and necessitates only a single additional mask and etch step, compared to standard SOI processing. The device shows evidence of volume inversion (inversion is observed not only in surface channels, but through the entire thickness of the silicon film). Because of the presence of two channels and because of reduced carrier scattering within the bulk of the silicon film, the transconductance of the 'gate-all-around' device is more than twice that of a conventional SOI device, and its subthreshold slope is nearly 60 mV/decade at room temperature. >

Journal ArticleDOI
TL;DR: Ab initio molecular-orbital theory is used to unravel the mechanism of HF etching leading to hydrogen-passivated silicon surfaces as observed experimentally.
Abstract: Ab initio molecular-orbital theory is used to unravel the mechanism of HF etching leading to hydrogen-passivated silicon surfaces as observed experimentally. Total-energy calculations on transition states of model silicon compounds suggest that the activation barriers for HF attack of the Si surface determine the resulting surface termination. In particular, the H passivation results from efficient removal of fluorine-bonded surface silicon as ${\mathrm{SiF}}_{4}$ leaving behind hydrogen.

Journal ArticleDOI
TL;DR: In this article, a fast (5 min) essentially preparation-free large area (25 cm2 ) technique is presented to determine the Fe concentration in boron-doped silicon with a sensitivity of 2.5×1011 cm−3.
Abstract: Iron is one of the most important impurities in silicon integrated‐circuit technology. We present a fast (5 min), essentially preparation‐free large‐area (25 cm2 ) technique to determine the Fe concentration in boron‐doped silicon with a sensitivity of 2–5×1011 cm−3. The principle of the method is based on the fact that interstitially dissolved Fe undergoes a reversible pairing reaction with boron and that the minority‐carrier diffusion length—as measured by the surface photovoltage method—is modified by this reaction. The method has been calibrated by deep‐level transient spectroscopy and is also suitable to measure a surface Fe contamination in combination with a rapid thermal annealing diffusion step.

Journal ArticleDOI
TL;DR: PC-PC-1D as discussed by the authors is a quasi-one-dimensional finite-element program for modeling semiconductor devices on personal computers, which offers solar cell researchers a convenient user interface with the ability to address complex issues associated with heavy doping, high-level injection, nonplanar structures, and transients.
Abstract: PC-1D is a quasi-one-dimensional finite-element program for modeling semiconductor devices on personal computers. The program offers solar cell researchers a convenient user interface with the ability to address complex issues associated with heavy doping, high-level injection, nonplanar structures, and transients. The physical and numerical models used in PC-1D Version 2 that make it possible to approximate the multidimensional effects found in textured crystalline silicon solar cells, including the effects of increased front-surface recombination, oblique photon path angles, and light trapping, are presented. As an example of how the model can be applied, PC-1D is used to investigate the interpretation of spectral quantum efficiency data as a tool for diagnosing the internal performance of textured silicon solar cells. >

01 Jan 1990
TL;DR: The treatment of liquid aluminum silicon alloys is one book that we really recommend you to read, to get more solutions in solving this problem as mentioned in this paper. But it is difficult to find the reference from some books.
Abstract: A solution to get the problem off, have you found it? Really? What kind of solution do you resolve the problem? From what sources? Well, there are so many questions that we utter every day. No matter how you will get the solution, it will mean better. You can take the reference from some books. And the the treatment of liquid aluminum silicon alloys is one book that we really recommend you to read, to get more solutions in solving this problem.


Book
14 Jan 1990
TL;DR: In this article, a comprehensive summary of the science, technology and manufacturing of semiconductor silicon materials is presented, including electrical, optical and mechanical properties, deep level impurities and carrier lifetime, as well as specific sections on oxygen, carbon and nitrogen impurities.
Abstract: This handbook is a comprehensive summary of the science, technology and manufacturing of semiconductor silicon materials. Every known property of silicon is detailed. A complete set of binary phase diagrams is included. Practical aspects such as materials handling, safety, impurity and defect reduction are also discussed in depth.Fundamentals in the areas of silicon precursor compounds, polysilicon, silicon crystal growth, wafer fabrication, epitaxial and CVD deposition are addressed by experts in these fields. Materials properties covered include electrical, optical and mechanical properties, deep level impurities and carrier lifetime, and thermochemistry, as well as specific sections on oxygen, carbon, and nitrogen impurities. The book contains an extensive set of references, tables of materials constants, and silicon properties, and a presentation on the state of the art of materials manufacturing.

Journal ArticleDOI
TL;DR: Using a source of freely propagating subpicosecond pulses of THz radiation, this article measured the absorption and dispersion of both N and P-type, 1 Ω cm silicon from 0.1 to 2 THz.
Abstract: Using a source of freely propagating subpicosecond pulses of THz radiation, we have measured the absorption and dispersion of both N‐ and P‐type, 1 Ω cm silicon from 0.1 to 2 THz. These results give the corresponding frequency‐dependent complex conductance over the widest frequency range to date. The data provide a complete view on the dynamics of both electrons and holes and are well fit by the simple Drude relationship.

Journal ArticleDOI
TL;DR: In this paper, an improved high-efficiency silicon solar cell structure was proposed, which overcomes deficiencies in an earlier structure by locally diffusing boron into contact areas at the rear of the cells.
Abstract: Significant improvements in silicon solar cell performance are reported using an improved high‐efficiency silicon solar cell structure. This structure overcomes deficiencies in an earlier structure by locally diffusing boron into contact areas at the rear of the cells. Terrestrial energy conversion efficiencies up to 24% are reported for silicon cells for the first time. Air Mass 0 efficiencies lie in the 20–21% range, the first silicon cells to exceed 20% efficiency under space illumination.

Journal ArticleDOI
TL;DR: In this paper, a method has been found for preparing uniform silicon tips with a radius of curvature less than 1 nm, formed by oxidation of 5μm-high silicon cones through exploitation of a known oxidation inhibition of silicon at regions of high curvature.
Abstract: Electron emitters in vacuum microelectronic devices need sharp tips in order to permit electron emission at moderate voltages A method has been found for preparing uniform silicon tips with a radius of curvature less than 1 nm These tips are formed by oxidation of 5‐μm‐high silicon cones through exploitation of a known oxidation inhibition of silicon at regions of high curvature

Journal ArticleDOI
TL;DR: In this article, an offset-reduction method for Hall plates has been developed which minimizes the influence of the stress and temperature on the offset by using only one single symmetrical Hall plate in which the direction of the current is made to spin by contact commutation with steps of π/6 radians or smaller.
Abstract: An offset-reduction method for Hall plates has been developed which minimizes the influence of the stress and the temperature on the offset. The new method uses only one single symmetrical Hall plate in which the direction of the current is made to spin by contact commutation with steps of π/6 radians or smaller. The consecutive Hall voltages are averaged over time and the offset cancels out. The residual offset is about a factor of 10 less than that specified for commercially available silicon Hall plates and is limited by inhomogeneities in the plate.

Journal ArticleDOI
TL;DR: Although the simple Drude model, with an energy-independent relaxation time, gives a surprisingly accurate description of the observed carrier dynamics, the measurements do show that some refinements are needed.
Abstract: A time-domain spectroscopic technique, based on the generation and detection of a collimated beam of subpicosecond broadband terahertz pulses, is used to measure the absorption and dispersion of n- and p-type silicon, with resistivities of 0.1, 1, and 10 \ensuremath{\Omega} cm in the submillimeter range of 0.1--2 THz. From the transmission measurements performed at room temperature and at 80 K, the absorption and dispersion, and concomitantly the full complex conductivity, of the doped silicon could be obtained. The results provide an accurate view on the dynamics of the electrons and the holes. Although the simple Drude model, with an energy-independent relaxation time, gives a surprisingly accurate description of the observed carrier dynamics, the measurements do show that some refinements are needed. An extended model, with an energy-dependent carrier-relaxation rate, can explain most of the observed deviations from the simple Drude model.

Journal ArticleDOI
TL;DR: In this article, 50 nm tantalum films were sputtered onto unpatterned single-crystal 〈100〉 Si wafers and overlaid with 100 nm Cu.
Abstract: We have investigated the effectiveness and failure mechanism of thin tantalum layers as diffusion barriers to copper. 50 nm tantalum films were sputtered onto unpatterned single‐crystal 〈100〉 Si wafers and overlaid with 100 nm Cu. Material reactions in these films were followed as a function of annealing temperature by in situ resistance measurements, and characterized by Rutherford backscattering spectroscopy and cross‐section transmission electron microscopy. While pure Cu on Si reacts at 200 °C, the Ta film prevents Cu silicon interaction up to 600 °C. At higher temperatures, reaction of the Si substrate with Ta forms a planar layer of hexagonal TaSi2. Cu rapidly penetrates to the Si substrate, forming η‘‐Cu3Si precipitates at the Ta‐Si2‐Si interface.

Journal ArticleDOI
TL;DR: These results corroborate past observations that silicon metabolism is linked to specific segments of the cell cycle, but indicate that these regions can lengthen dramatically in response to silicon limitation.
Abstract: The role of silicon availability on cell-cycle progression in marine diatoms was examined using flow cytometric methods. Silicon deprivation halted the progression of cells through the cell cycle with cells arresting in G1. G2 and M in 6 of 7 species examined (5 centric and 1 pennate species). The exception, Phaeodactylurn tricornutum, did not require silicon for growth and did not have a silicondependent segment within its cell cycle. T h s species was also the only one lacking a light-dependent arrest point late in its cell cycle suggesting that the arrest of diatom cells in G2 and M in the dark is related to silicon metabolism. Chaetoceros spp. were unique in that they had 2 silicon-dependent segments In G1: one at the GI/S boundary apparently associated with a silicon requirement for DNA synthesis and a second earher In G1 associated with the d e p o s ~ t ~ o n of siliceous setae. Silicon limitation of Thalaseoslra weissflogii led to an increase in the duratlon of G2 with the duration of G1, S and M remaining as observed under nutrient-replete conditions. In severely llmited cells, G2 comprised 82 % of the cell cycle and lasted for over 2 d , hlore complicated responses were observed for Cylindrotheca fusiformis and Chaetocel-OS simplex. Modest silicon limitation of C. fuslf01-1111s led to increases in the duration of G2 and posslbly M. More severe silicon stress did not lengthen M further, but both G2 and G1 increased in duratlon. For C. simplex, modest silicon l~mitation led to the expansion of G1 alone, while more severe limitation lengthened G1, G2 and M. Changes in cell cycle durations in this species appeared related to a decline in the silicon content of siliceous setae deposited during G1 These results corroborate past observations that silicon metabolism is linked to specific segments of the cell cycle, but indicate that these regions can lengthen dramatically in response to silicon limitation.

Journal ArticleDOI
TL;DR: CeO2 was epitaxially grown for the first time on both (111) and (100) silicon substrates by vacuum evaporation as mentioned in this paper, and the results showed that a CeO2 layer on ( 111) Si has considerably good crystalline quality, whereas that on 100)Si contains a large amount of crystallographic defects, especially in the vicinity of the CeO 2/Si interface.
Abstract: CeO2 layer was epitaxially grown for the first time on both (111) and (100) silicon substrates by vacuum evaporation. Characterization using Rutherford backscattering and reflection high‐energy electron diffraction proved that a CeO2 layer on (111) Si has considerably good crystalline quality, whereas that on (100)Si contains a large amount of crystallographic defects, especially in the vicinity of the CeO2/Si interface. Auger electron spectroscopy analysis showed a uniform concentration distribution of Ce and O throughout the epitaxial layer.

Journal ArticleDOI
TL;DR: In this paper, the surface recombination velocity s for silicon surfaces passivated with thermal oxide was experimentally determined as a function of surface phosphorus concentration for a variety of oxidation, anneal, and surface conditions.
Abstract: The surface recombination velocity s for silicon surfaces passivated with thermal oxide was experimentally determined as a function of surface phosphorus concentration for a variety of oxidation, anneal, and surface conditions. This was accomplished by measuring the emitter saturation current density J/sub 0/ of transparent diffusions for which the J/sub 0/ is strongly dependent on s. At the lowest doping levels, the value of s was confirmed by measurements of s on substrates with uniform phosphorus doping. The impact of these measurements on solar cell design is discussed. >

Patent
28 Sep 1990
TL;DR: In this paper, a process for fabricating thin film silicon wafers using a novel etch stop composed of a silicon-germanium alloy (24) was proposed.
Abstract: 2066193 9105366 PCTABS00004 A process for fabricating thin film silicon wafers using a novel etch stop composed of a silicon-germanium alloy (24) includes properly doping a prime silicon wafer (20) for the desired application, growing a strained Si1-x Gex alloy layer (24) onto seed wafer (20) to serve as an etch stop, growing a silicon layer (26) on the strained alloy layer with a desired thickness to form the active device region, oxidizing the prime wafer (20) and a test wafer (30), bonding the oxide surfaces of the test (30) and prime wafers (20), machining the backside of the prime wafer (20) and selectively etching the same to remove the silicon (20 and 22) removing the strained alloy layer (24) by a non-selective etch, thereby leaving the device region silicon layer (26). In an alternate embodiment, the process includes implanting germanium, tin, or lead ions to form the strained etch stop layer (24).

Journal ArticleDOI
G. E. Fish1
01 Jun 1990
TL;DR: In this article, the state of the art with an emphasis on recent research results and a view to identifying areas in which further developments in materials and processing might lead to even better properties and greater application of novel technology.
Abstract: The state of art is reviewed with an emphasis on recent research results and a view to identifying areas in which further developments in materials and processing might lead to even better properties and greater application of novel technology. Basis magnetic considerations are discussed, namely, B-H loop shape, core loss, magnetic anisotropy and annealing, and magnetostriction and stress effects. Materials and applications for power frequency devices are examined, covering core loss considerations, silicon steel development, metallic glasses, and high silicon materials. High-frequency and pulse applications, magnetic recording heads, and sensor and transducer applications are also discussed. Basic research questions and future directions with respect to core loss, magnetization, and stability are examined. >