scispace - formally typeset
Open AccessJournal ArticleDOI

Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges

Reads0
Chats0
TLDR
Plasma-assisted atomic layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with A-level resolution in which a plasma is employed during one step of the cyclic deposition process.
Abstract
Plasma-assisted atomic layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with A-level resolution in which a plasma is employed during one step of the cyclic deposition process. The use of plasma species as reactants allows for more freedom in processing conditions and for a wider range of material properties compared with the conventional thermally-driven ALD method. Due to the continuous miniaturization in the microelectronics industry and the increasing relevance of ultra-thin films in many other applications, the deposition method has rapidly gained popularity in recent years, as is apparent from the increased number of articles published on the topic and plasma-assisted ALD reactors installed. To address the main differences between plasma-assisted ALD and thermal ALD, some basic aspects related to processing plasmas are presented in this review article. The plasma species and their role in the surface chemistry are addressed and different equipment configurations, including radical-enhanced ALD, direct plasma ALD, and remote plasma ALD, are described. The benefits and challenges provided by the use of a plasma step are presented and it is shown that the use of a plasma leads to a wider choice in material properties, substrate temperature, choice of precursors, and processing conditions, but that the processing can also be compromised by reduced film conformality and plasma damage. Finally, several reported emerging applications of plasma-assisted ALD are reviewed. It is expected that the merits offered by plasma-assisted ALD will further increase the interest of equipment manufacturers for developing industrial-scale deposition configurations such that the method will find its use in several manufacturing applications.

read more

Content maybe subject to copyright    Report

Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges
H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M. Kessels
Citation: Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 29, 050801 (2011); doi:
10.1116/1.3609974
View online: http://dx.doi.org/10.1116/1.3609974
View Table of Contents: http://avs.scitation.org/toc/jva/29/5
Published by the American Vacuum Society
Articles you may be interested in
Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 97, 121301121301 (2005);
10.1063/1.1940727
Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 113, 021301021301 (2013);
10.1063/1.4757907
Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for
semiconductor device processing
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 21, (2016); 10.1116/1.1622676
Review Article: Recommended reading list of early publications on atomic layer deposition—Outcome of the
“Virtual Project on the History of ALD”
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, 010801010801 (2016);
10.1116/1.4971389
Overview of atomic layer etching in the semiconductor industry
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 33, 020802020802 (2015);
10.1116/1.4913379
Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 18, (2016); 10.1116/1.1305809

REVIEW ARTICLE
Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities,
and Challenges
H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M. Kessels
a)
Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven,
The Netherlands
(Received 22 February 2011; accepted 19 June 2011; published 18 August 2011)
Plasma-assisted atomic layer deposition (ALD) is an energy-enhanced method for the synthesis of
ultra-thin films with A
˚
-level resolution in which a plasma is employed during one step of the cyclic
deposition process. The use of plasma species as reactants allows for more freedom in processing
conditions and for a wider range of material properties compared with the conventional thermally-
driven ALD method. Due to the continuous miniaturization in the microelectronics industry and
the increasing relevance of ultra-thin films in many other applications, the deposition method has
rapidly gained popularity in recent years, as is apparent from the increased number of articles
published on the topic and plasma-assisted ALD reactors installed. To address the main differences
between plasma-assisted ALD and thermal ALD, some basic aspects related to processing plasmas
are presented in this review article. The plasma species and their role in the surface chemistry are
addressed and different equipment configurations, including radical-enhanced ALD, direct plasma
ALD, and remote plasma ALD, are described. The benefits and challenges provided by the use of a
plasma step are presented and it is shown that the use of a plasma leads to a wider choice in
material properties, substrate temperature, choice of precursors, and processing conditions, but that
the processing can also be compromised by reduced film conformality and plasma damage.
Finally, several reported emerging applications of plasma-assisted ALD are reviewed. It is
expected that the merits offered by plasma-assisted ALD will further increase the interest of
equipment manufacturers for developing industrial-scale deposition configurations such that the
method will find its use in several manufacturing applications.
V
C
2011 American Vacuum Society.
[DOI: 10.1116/1.3609974]
I. INTRODUCTION
Atomic layer deposition (ALD) is a vapor-phase deposi-
tion technique in which ultra-thin films are typically synthe-
sized sub-monolayer by sub-monolayer by repeating two
subsequently executed half-cycles.
110
See Fig. 1 for a sche-
matic illustration of an ALD cycle. ALD offers atomic layer
precision of the growth, because the reaction of the species
dosed during the two half-cycles is self-limiting. As a conse-
quence, when sufficient precursor and reactant species are
dosed, the ALD film growth is not flux-dependent, as is the
case with deposition techniques such as chemical vapor dep-
osition (CVD) and physical vapor deposition (PVD). The
growth rate with respect to ALD is expressed as the growth
per cycle (GPC), which is typically in the range of 0.05–0.1
nm per cycle. In order to ensure that only ALD surface reac-
tions take place and not CVD-like reactions, which can
appear when precursor and reactant are present in the reactor
at the same time, a purge step is executed after each half-
cycle to remove the residual precursor or reactant species.
The total duration of a cycle is the sum of the precursor dos-
ing time, the precursor purge time, the reactant dose time
and the reactant purge time. Consequently, the duration of
one cycle cannot only be shortened by optimizing the dosing
times, but also by optimizing the purge times. During ALD,
the reactant is typically a gas, such as O
2
, or a vapor, such as
H
2
O, and the surface reactions are thermally-driven by
slightly elevated substrate temperatures (typically 150–350
C). Therefore, the method is also referred to as thermal
ALD. Besides the atomic control over the film thickness, the
self-limiting half-cycles in ALD facilitate uniform deposi-
tion over large substrates and conformal deposition in struc-
tures of high aspect ratio, as long as the dosing and purge
times are sufficiently long.
The first ALD research was conducted in the 1960s and
1970s in the former USSR and Finland, and the deposition
method was patented in 1977 by Suntola.
11
For a more
extensive review on the history of ALD, the reader is
referred to Puurunen et al.
7
In the mid-1990s, the semicon-
ductor industry became interested in ALD because a deposi-
tion method with atomic control over the film thickness and
the ability to deposit films conformally on nonplanar sub-
strates was needed. Since then, the semiconductor industry
has been the key driver of the field of ALD.
12
In 2007, Intel
introduced its first 45 nm microprocessor containing Hf-
a)
Author to whom correspondence should be addressed; electronic mail:
w.m.m.kessels@tue.nl
050801-1 J. Vac. Sci. Technol. A 29(5), Sep/Oct 2011 0734-2101/2011/29(5)/050801/26/$30.00
V
C
2011 American Vacuum Society 050801-1

based gate dielectric layers fabricated by ALD. It is expected
that, starting from the 22 nm technology node, ALD will be
used in several key process steps.
13,14
Plasma-assisted ALD is an energy-enhanced ALD
method that is rapidly gaining in popularity.
15
In plasma-
assisted ALD, also referred to as plasma enhanced ALD
(PEALD), plasma ALD and, in some cases, radical-
enhanced ALD, the surface is exposed to the species gener-
ated by a plasma during the reactant step. This process is
also illustrated in Fig. 1. Typical plasmas used during
plasma-assisted ALD are those generated in O
2
,N
2
and H
2
reactant gases or combinations thereof. Such plasmas can
replace ligand-exchange reactions typical of H
2
OorNH
3
,
and they can be employed to deposit metal oxides, metal
nitrides and metal films. Moreover, plasmas generated in
gases or vapors such as NH
3
and H
2
O have been reported,
for which there can also be a combination of plasma and
thermal ALD surface reactions taking place at the same
time.
Plasma-assisted ALD offers several merits for the deposi-
tion of ultra-thin films over thermal ALD and other vapor-
phase deposition techniques. The high reactivity of the
plasma species on the deposition surface during the plasma-
assisted ALD process allows for more freedom in processing
conditions and for a wider range of material properties.
These ideas will be addressed in detail later in this review ar-
ticle and are the primary reason why the interest in plasma-
assisted ALD has increased rapidly in recent years. This in-
terest has also been catalyzed by the many new applications
of ALD that are emerging in and outside the semiconductor
industry. Several non-semiconductor applications have set
new requirements for the ALD parameter space, which can-
not always be satisfied easily by a pure thermally-driven
ALD process.
The increasing popularity of plasma-assisted ALD is
manifested by the increasing number of recent publications
about the topic (see Fi g. 2), and the large set of thin film
materials that have been synthesized by the method (see
Table I). Such is the interest and demand in the field that the
number of ALD equipment manufacturers providing dedi-
cated plasma-assisted ALD tools has increased significantly
in the recent years. Currently (status May 2011), companies
such as ASM (Emerald (2005) and Stellar (2006)),
16
Oxford
Instruments (FlexAL (2006) and OpAL (2008)),
17
Beneq
(TFS 200 (2009)),
18
Cambridge NanoTech (Fiji (2009)),
19
Applied Materials (Applied Endura iLB (2010)),
20
Tokyo
Electron Limited (TELINDY PLUS IRad SA (2011)),
21
and
Picosun (SUNALE (2011))
22
provide tools for plasma-
assisted ALD.
The first case of plasma-assisted ALD was reported in
1991, when De Keijser and Van Opdorp of the Philips
Research Laboratories in Eindhoven, the Netherlands, pub-
lished a paper on atomic layer epitaxy (ALE) of GaAs using
H radicals.
111
The hydrogen radicals were generated in a
remote microwave-induced plasma and transported to the
deposition surface through a quartz tube (see Fig. 3). The
atomic hydrog en was used to drive the surface reactions after
GaMe
3
and AsH
3
pulsing at substrate temperatures below
500
C, wh ich is close to the onset temperature for the ther-
mal decomposition of GaMe
3
. Subsequently, the method
remained unexplored until the end of the 1990s, when the
semiconductor industry became interested in ALD as men-
tioned earlier. Sherman filed a patent on the method in
1996,
298
after which Rossnagel and co-workers reported on
plasma-assisted ALD of Ta and Ti metal films in 2000.
206
In
the latter case, the anticipated application of the technique
was the deposition of Cu diffusion barriers in advanced
FIG. 2. (Color online) Number of publications per year on the subject of
plasma-assisted ALD, between 1991 and 2011 (status May 31, 2011). The
search was run in published abstracts using Web of Science
V
R
(Ref. 23). The
search terms included “plasma-assisted ALD,” “plasma-enhanced ALD,”
“radical enhanced ALD,” “remote plasma ALD,” “direct plasma ALD,” and
“plasma ALD.” The first report of a plasma-assisted ALD process by De
Keijser and Van Opdorp (Philips Research Laboratories, Eindhoven), pub-
lished in 1991, is also included.
FIG. 1. (Color online) Schematic representation of thermal ALD and
plasma-assisted ALD. During the co-reactant step of the cycle (the 2
nd
half-
cycle), the surface is exposed to a reactant gas or vapor such as NH
3
or H
2
O,
or to species generated by a plasma.
050801-2 Profijt et al.: Plasma-assisted ALD 050801-2
J. Vac. Sci. Technol. A, Vol. 29, No. 5, Sep/Oct 2011

TABLE I. Overview of the materials deposited by plasma-assisted ALD. The material, the precursor, the plasma gas (only the reactant gas, not the carrier gas),
the reactor type (“re is radical-enhanced, d is direct-plasma ALD, r is remote plasma ALD, and “—” is not specified) and the references are given for proc-
esses reported up to May 31, 2011. The search was run in published abstracts using Web of Science
V
R
(Ref. 23). acac ¼ acetylacetonate, amd ¼ N,N
0
-diisopropyla-
cetamidinate, cod ¼ 1,4-cyclooctadiene, Cp ¼ g
5
-cyclopentadienyl, Cp* ¼ g
5
-pentamethylcyclopentadienyl, Cp
Et
¼ g
5
-ethylcyclopentadienyl, Cp
iPr
¼ g
5
-
isopropylcyclopentadienyl, Cp
Me
¼ g
5
-methylcyclopentadienyl, dmamb ¼ 1-dimethylamino-2-methyl-2-butanolate, dme ¼ dimethoxyethane, Et ¼ ethyl,
fod ¼ 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate, hfac ¼ 1,1,1,5,5,5-hexafluoroacetylacetonate,
i
Pr ¼ isopropyl, Me ¼ methyl, mp ¼ 3-methyl-3-
pentoxyl,
n
Bu ¼ butyl, Ph ¼ phenyl,
t
Bu ¼ tertiary butyl, thd ¼ 2,2,6,6-tetramethyl-3,5-heptanedionate,
t
Pn ¼ tertiarypentyl, vtmos ¼ vinyltrimethoxylsilane.
Material Precursor Plasma Reactor Refs.
Ag Ag(O
2
C
t
Bu)(PEt
3
)H
2
re 24
Ag(O
2
C
t
Bu)(P
n
Bu
3
)H
2
re 24
Al AlH
3
(NEtMe
2
)H
2
d 25,26
Al
2
O
3
AlH
3
(MeNC
4
H
4
)O
2
d 27
AlMe
2
(O
i
Pr) O
2
28
AlMe
3
O
2
d, r, re, 2867
CO
2
68
N
2
/O
2
d, 32,6972
N
2
O d 73
AlN AlCl
3
NH
3
/H
2
d 74,75
AlMe
3
NH
3
d, r 42,76,77
H
2
/N
2
r 78
AlO
x
N
y
AlMe
3
O
2
/N
2
d 30,38,79
AlSi
x
O
y
AlMe
3
and Si(OEt)
4
O
2
/N
2
d 80
O
2
81
AlTi
x
O
y
AlMe
3
and Ti(O
i
Pr)
4
O
2
d 8285
N
2
O d 85
Co Co(amd)
2
NH
3
d 86
Co(Cp)(amd) NH
3
d 87
Co
2
(CO)
8
H
2
r 88
H
2
/N
2
r 89
CoCp
2
NH
3
r 90,91
CoCp(CO)
2
H
2
r 92,93
H
2
/N
2
r 89
NH
3
r 90
Co
3
O
4
CoCp
2
O
2
r 94
CoSi
2
CoCp
2
NH
3
and SiH
4
d 95,96
Cu Cu(acac)
2
H
2
d, re 97100
Cu(hfac)(vtmos) H
2
101
Cu(thd)
2
H
2
r 102
Cu(g
2
-OC(Et)(Me)CH
2
NMe
2
)H
2
103
Er
2
O
3
Er(thd)
3
O
2
re 104
Ga
2
O
3
[Ga(Me)
2
NH
2
]
3
O
2
d 105110
GaAs GaMe
3
and AsH
3
H
2
re 111
GaTi
x
O
y
[Ga(Me)
2
NH
2
]
3
and Ti(NMe
2
)
4
O
2
d 105,107,108,112
GeSb
x
Te
y
Ge(NMe
2
)
4
and Sb(NMe
2
)
4
and Te
i
Pr
2
H
2
d 113
Ge
i
Bu
4
and Sb
i
Pr
3
and Te
i
Pr
2
H
2
d 114
HfN Hf(NMe
2
)
4
H
2
d 115117
H
2
/N
2
d 117
N
2
d, r 117,118
HfO
2
Hf(NEt
2
)
4
O
2
d, r, 119133
N
2
O d, 126,134
Hf(NEtMe)
4
O
2
d, r, re 33,34,135139
Hf(NMe
2
)
4
O
2
d, r, 116,140142
O
2
/N
2
r 143
Hf(OH)
3
NH
2
O
2
144
Hf(mp)
4
O
2
r 127
Hf(O
t
Bu)
4
O
2
re 145
HfAl
x
O
y
Al(Me)
3
and Hf(NEtMe)
4
O
2
d 33,34
HfO
x
N
y
Hf(NMe
2
)
4
O
2
/N
2
r 140,143,146
Hf(NEt
2
)
4
O
2
/N
2
r 147
HfSi
x
O
y
Hf(NEtMe)
4
and Si(NMe
2
)
3
HO
2
r 147
Hf(O
t
Bu)
4
and Si(OEt)
4
O
2
re 148
050801-3 Profijt et al.: Plasma-assisted ALD 050801-3
JVST A - Vacuum, Surfaces, and Films

TABLE I. Continued.
Material Precursor Plasma Reactor Refs.
Ir Ir(Cp
Et
)(COD) NH
3
d, 149,150
La
2
O
3
La(Cp
Et
)
3
O
3
re 151,152
La(Cp
iPr
)
3
O
2
r, re 53,139,153,154
LaHf
x
O
y
La(Cp
iPr
)
3
and Hf(NEtMe)
4
O
2
re 139,155
NbN Nb(N
t
Bu)(NEtMe)
3
H
2
,H
2
/N
2
,NH
3
r 156,157
Ni Ni(dmamb)
2
NH
3
,H
2
d 158
“Bis-Ni(II)” H
2
159
Ni(Cp
Et
)
2
H
2
160
NiSi
2
Ni(dmamb)
2
NH
3
/SiH
4
d 95
Pd Pd(hfac)
2
H
2
r 161,162
Pd(hfac)
2
H
2
/N
2
r 163
Pt Pt(Cp
Me
)Me
3
O
2
r 164
PtO
2
Pt(Cp
Me
)Me
3
O
2
r 164
Ru Ru(Cp
Et
)
2
NH
3
d, r ,— 165174
H
2
/N
2
d,— 175178
RuCp(CO)
2
Et O
2
r 179
Ru(Cp)
2
NH
3
173
Ru(Cp
Et
)(NC
4
H
4
)NH
3
d, 180,181
Ru(1-
i
Pr-4-MeC
6
H
4
)(1,3-C
6
H
8
)NH
3
d 182,183
SiO
2
SiH
4
N
2
O 184
SiH
2
(NEt
2
)
2
O
2
d 185
SiH
3
NH
2
O
2
d 186
Si(NMe
2
)
4
and Si(NMe
2
)
3
Cl (mix) O
2
/N
2
d 187
Si(OEt)
4
O
2
r 188
[SiMe
2
O-]
4
O
2
189
SiN
x
SiH(N
i
PrH)
3
NH
3
d 182
SnO
2
Sn(O
2
CMe)
2
(
n
Bu)
2
O
2
190194
SrO Sr(C
5
H
2
i
Pr
3
)
2
(dme) O
2
r 195
Sr(C
11
H
19
O
2
)
2
O
2
196198
Sr(thd)
2
O
2
d 199
SrTaO
6
Sr[Ta(OEt)
5
(OCH
2
CH
2
NMe
2
)]
2
O
2
d 200
Sr[Ta(OEt)
5
(OCH
2
CH
2
OMe)]
2
O
2
d 201,202
SrTiO
3
Sr(thd)
2
and Ti(O
i
Pr)
4
O
2
d 196198
Ti(Cp*)(OMe)
3
and Sr(C
5
H
2
i
Pr
3
)
2
(dme) O
2
r 195
SrBi
x
Ta
y
Sr[Ta(OEt)
5
(OCH
2
CH
2
OMe)]
2
and BiPh
3
(mix)
O
2
d 202,203
Ta TaCl
5
H
2
r 204206
TaO
x
Ta(NMe
2
)
5
O
2
r 56,207209
O
2
/N
2
r 209
Ta(OEt)
5
O
2
re, 210,211
TaC
x
N
y
Ta(N
t
Bu)(NEt
2
)
3
H
2
d 212,213
NH
3
d 214
CH
4
/H
2
d 215
Ta(N
t
Pn)(NMe
2
)
3
H
2
d 216
Ta(NMe
2
)
5
H
2
r 217
TaN
x
TaCl
5
H
2
/N
2
r, 218220
TaF
5
H
2
/N
2
d 221
Ta(NMe
2
)
5
H
2
r, 207,222224
N
2
r 225
H
2
/N
2
r 223,226
NH
3
r, 174,223,225
Ta(N
t
Bu)(NEt
2
)
3
H
2
d, r 227229
NH
3
181
Ta(N
t
Bu)(NEtMe)
3
H
2
230
Ta(N
i
Pr)(NEtMe)
3
H
2
/N
2
r 231
Ta(N
t
Pn)(NMe
2
)
3
H
2
175
Ti TiCl
4
H
2
r 206,232
TiN
x
TiCl
4
H
2
/N
2
d, r 31,51,136,222,233238
H
2,
D
2
re 239
050801-4 Profijt et al.: Plasma-assisted ALD 050801-4
J. Vac. Sci. Technol. A, Vol. 29, No. 5, Sep/Oct 2011

Figures
Citations
More filters
Journal ArticleDOI

Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends

TL;DR: Puurunen et al. as discussed by the authors summarized the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD.
Journal ArticleDOI

Status and prospects of Al2O3-based surface passivation schemes for silicon solar cells

TL;DR: In this paper, aluminum oxide (Al2O3) nanolayers synthesized by atomic layer deposition (ALD) have been used for the passivation of p-and n-type crystalline Si (c-Si) surfaces.
Journal ArticleDOI

The 2017 Plasma Roadmap: Low temperature plasma science and technology

Igor Adamovich, +38 more
- 14 Jul 2017 - 
TL;DR: The 2017 plasmas roadmap as mentioned in this paper is the first update of a planned series of periodic updates of the Plasma Roadmap, which was published by the Journal of Physics D: Applied Physics in 2012.
Journal ArticleDOI

Catalyst Design with Atomic Layer Deposition

TL;DR: Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials as mentioned in this paper, which can be used to elucidate reaction mechanisms and catalyst structure-property relationships by creating materials with a controlled distribution of size, composition, and active site.
References
More filters
Journal ArticleDOI

Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process

TL;DR: In this paper, the surface chemistry of the trimethylaluminum/water ALD process is reviewed, with an aim to combine the information obtained in different types of investigations, such as growth experiments on flat substrates and reaction chemistry investigation on high-surface-area materials.
Journal ArticleDOI

High dielectric constant gate oxides for metal oxide Si transistors

TL;DR: In this article, a review of the development of high-k gate oxides such as hafnium oxide (HFO) and high-K oxides is presented, with the focus on the work function control in metal gate electrodes.
Journal ArticleDOI

Low-Temperature Al2O3 Atomic Layer Deposition

TL;DR: In this article, the properties of low-temperature Al2O3 ALD films were investigated versus growth temperature by depositing films on Si(100) substrates and quartz crystal microbalance (QCM) sensors.
Related Papers (5)