scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2008"


Journal ArticleDOI
TL;DR: In this paper, a single layer graphene was suspended ∼150nm above a Si/SiO2 gate electrode and electrical contacts to the graphene was achieved by a combination of electron beam lithography and etching.

7,276 citations


Journal ArticleDOI
16 Jan 2008
TL;DR: In this paper, the authors focus on the benefits of using ICs at the 22-nm node and beyond, and no shortage of ideas on how to accomplish this, although it is not clear that optics will be the most economical in this range; extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo).
Abstract: For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least the ldquo22 nm noderdquo (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: (a) lithography, the patterning of a resist film on top of the functional material; and (b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radiation, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although originally dismissed as a ldquosupport technology,rdquo now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo). Going from laboratory demonstration to manufacturing technology is enormously expensive ( $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable performance that making the right decision goes far beyond understanding the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

410 citations


Journal ArticleDOI
Qiuming Yu1, Phillip Guan1, Dong Qin1, Greg Golden1, Paul M. Wallace1 
TL;DR: The large tolerance on dimensions and the empty space confined by nanoholes suggest promise for their use as a functional component in sensing, spectroscopy, and photonic devices.
Abstract: Surface-enhanced Raman scattering (SERS) on gold nanohole and nanodisk arrays with precisely controlled size and spacing fabricated via electron beam lithography was investigated. These nanostructures exhibit strong SERS signals at 785 nm excitation but not at 514 nm. When the edge-to-edge distance is maintained, enhancement increases for nanoholes but decreases for nanodisks as diameter is increased. It is shown that the observed enhancement results from the local surface plasmon resonance wavelength shifts to the near-infrared regime as nanohole diameter increases. The large tolerance on dimensions and the empty space confined by nanoholes suggest promise for their use as a functional component in sensing, spectroscopy, and photonic devices.

363 citations


Journal ArticleDOI
12 Jan 2008-ACS Nano
TL;DR: It is demonstrated that physical manipulation of the PDMS post-nTP can be used to alter morphology, e.g., to change internanodisk spacing, adding the potential to scale-up regular morphology substrates by a stamp-and-repeat methodology.
Abstract: The development of quantitative, highly sensitive surface-enhanced Raman spectroscopy (SERS) substrates requires control over size, shape, and position of metal nanoparticles. Despite the fact that SERS has gained the reputation as an information-rich spectroscopy for detection of many classes of analytes, in some isolated instances down to the single molecule detection limit, its future development depends critically on techniques for nanofabrication. Herein, an unconventional nanofabrication approach is used to produce efficient SERS substrates. Metallic nanopatterns of silver disks are transferred from a stamp onto poly(dimethysiloxane) (PDMS) to create nanocomposite substrates with regular periodic morphologies. The stamp with periodic arrays of square, triangular, and elliptical pillars is created via electron beam lithography (EBL) of ma-N 2403 resist. A modified cyclodextrin is thermally evaporated onto the stamp to overcome the adhesive nature of the EBL resist and to function as a releasing layer...

341 citations


01 Jan 2008
TL;DR: The benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.
Abstract: For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least theB22 nm node( (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: a) lithography, the patterning of a resist film on top of the functional material; and b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radia- tion, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although origi- nally dismissed as a Bsupport technology,( now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as Bmaskless lithography(). Going from laboratory demonstra- tion to manufacturing technology is enormously expensive (9 $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable perfor- mance that making the right decision goes far beyond under- standing the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

301 citations


Journal ArticleDOI
TL;DR: In this paper, a micromechanical device designed to be used as a nonvolatile mechanical memory is presented, which is composed of a suspended slender nanowire (width: 100 nm, thickness: 430 nm, length: 8 to 30?m) clamped at both ends.
Abstract: We present a micromechanical device designed to be used as a non-volatile mechanical memory. The structure is composed of a suspended slender nanowire (width: 100 nm, thickness: 430 nm, length: 8 to 30 ?m) clamped at both ends. Electrodes are placed on each side of the nanowire to (1) actuate the structure during the data writing and erasing mode and (2) determine its position by measuring the capacitive bridge in the reading mode. The structure is patterned by electron beam lithography on a pre-stressed thermally grown silicon dioxide layer. When later released by plasma etching, the stressed material relaxes and the beam buckles by itself to a position of lower energy. These symmetric bistable Euler beams exhibit two stable deformed. This paper presents the microfabrication process and analysis of the static buckling of nanowires. Snapping of these nanowires from one stable position to another by mechanical or electrical means will also be discussed.

154 citations


Journal ArticleDOI
TL;DR: A lab-on-a-chip prototype sensor device with the integration of the resonator with planar microfluidic systems is demonstrated and shows a refractive index sensitivity of 182 nm/RIU (refractive index unit) and a wavelength resolution of 0.1 pm through a resonant peak fit.
Abstract: High-index-contrast compact microdisk resonators in thermally evaporated As2S3 and Ge17Sb12S71 chalcogenide glass films are designed and fabricated using standard UV lithography and characterized. Our pulley coupler configuration demonstrates coupling of the resonators to monolithically integrated photonic wire waveguides without resorting to demanding fine-line lithography. Microdisk resonators in As2S3 support whispering-gallery-mode with cavity quality factors (Q) exceeding 2 x 10(5), the highest Q value reported in resonator structures in chalcogenide glasses to the best of our knowledge. We have successfully demonstrated a lab-on-a-chip prototype sensor device with the integration of our resonator with planar microfluidic systems. The sensor shows a refractive index sensitivity of 182 nm/RIU (refractive index unit) and a wavelength resolution of 0.1 pm through a resonant peak fit. This corresponds to a refractive index detection limit of 8 x 10(-7) RIU at 1550 nm in wavelength, which could be further improved by shifting the operating wavelength to a region where water absorption is reduced.

142 citations


Journal ArticleDOI
TL;DR: In this paper, a new electron beam lithography process was used to produce uniform arrays of subwavelength apertures with diameters in the range of 60-100nm.
Abstract: Metallic subwavelength apertures can be used in epi-illumination fluorescence to achieve focal volume confinement. Because of the near field components inherent to small metallic structures, observation volumes are formed that are much smaller than the conventional diffraction limited volume attainable by high numerical aperture far field optics (circa a femtoliter). Observation volumes in the range of 10−4fl have been reported previously. Such apertures can be used for single-molecule detection at relatively high concentrations (up to 20μM) of fluorophores. Here, we present a novel fabrication of metallic subwavelength apertures in the visible range. Using a new electron beam lithography process, uniform arrays of such apertures can be manufactured efficiently in large numbers with diameters in the range of 60–100nm. The apertures were characterized by scanning electron microscopy, optical microscopy, focused ion beam cross sections/transmission electron microscopy, and fluorescence correlation spectrosc...

117 citations


Journal ArticleDOI
TL;DR: In this article, the exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power, and post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes.
Abstract: SU8 submicron structures with an aspect ratio of more than 50 are made by soft X-ray lithography using modified spectra of the synchrotron radiation at the ANKA LITHO-1 beamline, which includes a chromium mirror. The X-ray spectrum is additional shaped by a beam stop and a filter to a narrow band in order to reduce the influence of diffraction and photoelectrons. The exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power. Post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes and to eliminate a “skin” layer at the top of the resist. SU8 structures with lateral dimensions of 1 μm and heights from 50 to 80 μm have been fabricated defect free with the optimized process.

115 citations


Journal ArticleDOI
L. Durrer1, T. Helbling1, C. Zenger1, A. Jungen1, Christoph Stampfer1, Christofer Hierold1 
Abstract: We investigated the growth of individual single-walled carbon nanotubes (SWNTs) by chemical vapor deposition (CVD) on Ferritin-based Fe catalyst. According to Ferritin adsorption measurements by atomic force microscope (AFM) imaging, we show that the SWNT density on the surface can be controlled by the Ferritin concentration in the adsorption solution, which is important for SWNT integration and avoiding SWNT bundle formation. The grown SWNTs were contacted by Cr/Au layers, structured by electron beam lithography and lift-off, resulting in carbon nanotubes (CNT) based field effect transistors (FET).

103 citations


Journal ArticleDOI
TL;DR: Rows of gallium phosphide nanowires were epitaxially grown on GaP(111) substrates in patterns defined by electron beam lithography, making it possible to guide and sort a large number of axons as opposed to when chemical patterns are used.
Abstract: We demonstrate high-fidelity guidance of axons using rows of nanowires. The axons are prevented from crossing the rows, making it possible to guide and sort a large number of axons as opposed to when chemical patterns are used. Focal adhesion forms at the nanowires establishing a possible site of information transfer between the surface and the cells. Rows of gallium phosphide (GaP) nanowires were epitaxially grown on GaP(111) substrates in patterns defined by electron beam lithography.

Journal ArticleDOI
13 Feb 2008-ACS Nano
TL;DR: Palladium hexadecylthiolate is shown to serve as a negative-tone direct-write electron resist to produce nanopatterns down to 30 nm, a desirable property of interconnects in nanocircuitry.
Abstract: Palladium hexadecylthiolate is shown to serve as a negative-tone direct-write electron resist to produce nanopatterns down to 30 nm. The written patterns do not deviate much from the precursor in composition, while a post-treatment at 230 °C in air produced metallic Pd nanowires with residual carbon less than 10% and resistivity close to the bulk value, a desirable property of interconnects in nanocircuitry. The as-written patterns contain small nanocrystals (<5 nm) in a hydrocarbon matrix, which upon annealing aggregate to form well-connected networks of larger nanocrystals (5–15 nm), thus giving rise to metallic conductivity.

Journal ArticleDOI
TL;DR: A simple and scalable bottom-up approach for fabricating moth-eye antireflective coatings on GaAs substrates and the specular reflection matches with the theoretical prediction using a rigorous coupled-wave analysis model is developed.
Abstract: We have developed a simple and scalable bottom-up approach for fabricating moth-eye antireflective coatings on GaAs substrates. Monolayer, non-close-packed silica colloidal crystals are created on crystalline GaAs wafers by a spin-coating-based single-layer reduction technique. These colloidal monolayers can be used as etching masks during a BCl3 dry-etch process to generate subwavelength-structured antireflective gratings directly on GaAs substrates. The gratings exhibit excellent broadband antireflective properties, and the specular reflection matches with the theoretical prediction using a rigorous coupled-wave analysis model. These bioinspired antireflection coatings have important technological applications ranging from efficient solar cells to IR detectors. © 2008 Optical Society of America OCIS codes: 050.2770, 220.4241, 310.1210, 310.6628. Gallium arsenide (GaAs) is a technologically important semiconductor that has been widely used in optoelectronics, such as vertical cavity surface-emitting lasers [1], near-IR photodetectors [2], and highly efficient concentrator solar cells [3‐5]. However, owing to the high refractive index (RI) of GaAs (nGaAs3.6 for visible wavelengths), more than 30% of incident light is reflected back from the substrate surface. This greatly reduces the efficiency of GaAs-based optoelectronic devices. To suppress the unwanted reflective losses, vacuum-deposited multilayer dielectric (e.g., MgF2/ZnS antireflection coatings (ARCs) have been developed [6,7]. Unfortunately, these multilayer ARCs are expensive to fabricate owing to the stringent requirement of high vacuum, material selection, and layer thickness control. Additionally, thermal-mismatch-induced lamination and material diffusion of the multilayer ARCs limit the device performance at high power densities [3]. Inspired by the broadband antireflection of microstructured corneas of moths, which consist of nonclose-packed arrays of sub-300 nm nipples [8], subwavelength antireflective structures have been extensively exploited [9‐17]. These structures can reduce reflection over a wider range of wavelengths and exhibit much improved thermal stability than conventional multilayer ARCs. However, scalable production of subwavelength ARCs is not a trivial task for the current top-down nanolithography technologies (e.g., electron-beam lithography and interference lithography) [11,13]. Bottom-up colloidal lithography, which uses self-assembled colloidal crystals as deposition or etching masks to pattern periodic nanostructures [18,19], provides a much simpler and inexpensive alternative to nanolithography in creating subwavelength gratings [16,20]. Unfortunately, traditional colloidal assemblies suffer from low throughput, small areas, incompatibility with standard microfabrication, and limited close-packed crystal structures.

Journal ArticleDOI
TL;DR: Graphene nanoribbons are formed from ultrathin electrospun poly(methyl methacrylate) (PMMA) nanofibers by electron beam irradiation as discussed by the authors.
Abstract: Graphene nanoribbons are formed from ultrathin electrospun poly(methyl methacrylate) (PMMA) nanofibers by electron beam irradiation. The number and width of the graphene sheets can be controlled precisely (see figure), providing a novel method of obtaining designed graphenes. This graphitization technique could be combined with electron beam lithography in the future to obtain high-resolution patterned graphite circuits.

Journal ArticleDOI
12 Sep 2008-Langmuir
TL;DR: The surface Raman enhancement factors were determined for a monolayer of azobenzene molecules adsorbed on gold through thiol bonding and compared for both LB transfer and e-beam samples for nanostructures of comparable geometries.
Abstract: Nanostructured metallic platforms for Raman enhancement were fabricated using Langmuir-Blodgett and electron beam (e-beam) lithography techniques. The gold platforms were inscribed on thin glass slides with the purpose of using them in a transmission geometry experimental setup under a confocal microscope. The plasmon frequency of the gold nanostructures was determined in the visible-near-infrared range for various pattern sizes prepared by Langmuir-Blodgett transfer and e-beam lithography. The surface Raman enhancement factors were determined for a monolayer of azobenzene molecules adsorbed on gold through thiol bonding and compared for both LB transfer and e-beam samples for nanostructures of comparable geometries.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the dipolar plasmon modes of nanoparticle trimers formed by three equal silver disks of diameter D = 100 nm located on the vertexes of an equilateral triangle.
Abstract: We investigate the dipolar plasmon modes of nanoparticle trimers formed by three equal silver disks of diameter D = 100 nm located on the vertexes of an equilateral triangle. Samples were fabricated by electron-beam lithography and studied experimentally by dark-field spectroscopy. The results are found to be in good agreement with electrodynamical simulations based on the discrete dipole approximation (DDA). Similar to nanoparticle dimers, the trimer system exhibits two hybridized dipole resonances to the red and to the blue of the single particle resonance. However, the far-field spectra are polarization-insensitive for light incident normal to the plane of the trimer, and the peak shifts, which occur as the edge-to-edge distance d between the particles decrease, are smaller than for dimers. Moreover, we find that the dipolar displacement patterns are well described by linear combinations of bonding and antibonding symmetry adapted coordinates obtained through symmetry analysis based on the ideal D-3h point-group.

Journal ArticleDOI
TL;DR: In this paper, the effect of altering the elliptical aspect ratio on surface-enhanced Raman spectroscopy (SERS) activity has been examined using electron beam lithography (EBL).
Abstract: Signal enhancement observed in surface-enhanced Raman spectroscopy (SERS) is attributable to the presence of noble-metal nanostructures on substrate surfaces. The rational development of SERS-active substrates depends critically on the homogeneity and intensity of surface plasmon resonances, properties that are strongly dependent on both the morphology and dielectric properties of the metals and composite materials making up the SERS substrates. Enhancement can be controlled by the shape, size, and spacing of metallic nanoparticles. Previous studies in our group have shown that arrays of elliptical nanodiscs have promising geometries for this purpose. Using electron beam lithography (EBL), we fabricate close-packed arrays of these discs with lateral dimensions ranging from 300:50 to 300:300 nm (long axis : short axis). The arrays are composed of a negative photoresist that, once the lithography process is complete, are coated with a noble metal through physical vapor deposition (PVD). In this work, optimum thickness and deposition rate of noble metal are determined for these substrates. The lithographically produced nanopatterns are studied by Raman spectroscopy to examine the effect of altering the elliptical aspect ratio on SERS activity, while scanning electron microscopy (SEM) is used to examine pattern surfaces post lithographic development and post noble-metal deposition. Atomic force microscopy (AFM) is used to inspect the roughness of substrate surfaces. Reproducibility between different arrays of the same pattern ranges from 12 to 28%. Homogeneity of our uniform-morphology EBL/PVD-fabricated substrates is examined and compared to our random-morphology polymer nanocomposite substrates. Using rhodamine 6G as an analyte, an increase in SERS signal is noted as the aspect ratio of ellipses goes from 6:1 to 6:6. Our experimental data, in terms of trends in SERS activity, correlate with trends in field enhancements calculated using a simple electrostatic model and with the magnitude of the broad red-shifted spectral continuum observed for the substrates. Copyright © 2008 John Wiley & Sons, Ltd.

Journal ArticleDOI
TL;DR: In this paper, a HSQ/PMMA bilayer resist system, in which HSQ as negative tone electron beam resist top layer and PMMA as bottom layer, has been investigated for negative tone lift-off process.

Journal ArticleDOI
TL;DR: Atkinson et al. as discussed by the authors used ex-situ patterning of the GaAs substrate by electron beam lithography and conventional wet or dry etching techniques to form shallow pits in the surface which then determined the nucleation site of an InAs dot.

Journal ArticleDOI
Uwe Huebner, R. Boucher, H. Schneidewind, Dana Cialla1, Jürgen Popp1 
TL;DR: In this paper, the authors developed fabrication routes for the realisation of very small and sharp-edged metallic nanostructures which can be used as substrates for surface enhanced Raman spectroscopy (SERS).

Journal ArticleDOI
TL;DR: In this paper, the authors used polarization optical methods to describe the birefringence properties of two-dimensional arrays of L-shaped silver nanoparticles and demonstrated that the beam depolarization at incident angles intermediate to the resonance polarization directions is explained with a model based on a finite difference time-domain (FDTD) calculation for both arrays and single particles.
Abstract: We present theoretical models and experiments demonstrating nanoparticle optical birefringence. The experiments use polarization optical methods to describe the birefringence properties of two-dimensional arrays of L-shaped silver nanoparticles. These particles have two major resonances with perpendicular polarization directions. The beam depolarization at incident angles intermediate to the resonance polarization directions is explained with a model based on a finite-difference time-domain (FDTD) calculation for both arrays and single particles. The maximum relative phase retardation is observed between the two overlapping dipole resonance wavelengths, and experimentally it is about 30°. While the FDTD models predict a larger effect of up to 105°, this might be due to the statistical variation of nanoparticle shapes in the experimental arrays. The arrays were fabricated by electron beam lithography, and the size of particles was ∼145 and ∼155 nm in nominal total edge length, 63 nm arm width, and 30 nm he...

Journal ArticleDOI
TL;DR: This easy-to-use holographic lithography method may provide a roadway for the design and fabrication of future metamaterials requiring diverse structures for effectively manipulating electromagnetic properties at optical frequencies.
Abstract: We demonstrate a holographic lithography for the fabrication of diverse metamaterial structures by using an optical prism. Cylindrical nanoshells, U-shaped resonator arrays, and double-split ring arrays are obtained experimentally by real time modulating the phase relation of the interference beams. This easy-to-use method may provide a roadway for the design and fabrication of future metamaterials requiring diverse structures for effectively manipulating electromagnetic properties at optical frequencies.

Journal ArticleDOI
TL;DR: The experimental results obtained with this nanoscale fabrication technique are well described by the so-called plasmon ruler equation and both the changes in particle diameter as well as in gap width can be characterized in-situ via far-field read-out of the optical properties of the dimers.
Abstract: We report on the in-situ controlled tuning of the particle gap in single pairs of gold nanodisks by photochemical metal deposition. The optically induced growth of nanodisk dimers fabricated by electron beam lithography leads to a decrease of the interparticle gap width down to 0 nm. Due to the increasing particle size and stronger plasmonic coupling, a smooth redshift of the localized surface plasmon (LSP) resonances is observed in such particle pairs during the growth process. The interparticle gap width, and hence the LSP resonance, can be tuned to any desired spectral position. The experimental results we obtain with this nanoscale fabrication technique are well described by the so-called plasmon ruler equation. Consequently, both the changes in particle diameter as well as in gap width can be characterized in-situ via far-field read-out of the optical properties of the dimers.

Journal ArticleDOI
TL;DR: In this paper, nano-scale pattern templates have been manufactured in order to study the differences in cell behavior between fibroblasts cultured on smooth and on grooved substrata, and the pattern templates were made on silicon wafers using electron beam lithography in hydrogen silsesquioxane (HSQ) and subsequent reactive ion etching (RIE).

Journal ArticleDOI
TL;DR: In this paper, a method to pattern SiO2 surfaces with colloidal gold nanoparticles by e-beam lithography and selective nanoparticle deposition is described, which allows to deposit nanoparticles in continuous straight lines, just one nanoparticle wide and many nanoparticles long.
Abstract: We describe a method to pattern SiO2 surfaces with colloidal gold nanoparticles by e-beam lithography and selective nanoparticle deposition. The simple technique allows us to deposit nanoparticles in continuous straight lines, just one nanoparticle wide and many nanoparticles long. We contact the prepositioned nanoparticles with metal leads to form single electron transistors. The Coulomb blockade pattern surprisingly does not show the parasitic “offset charges” at low temperatures, indicating relatively little surface contamination.


Journal ArticleDOI
TL;DR: In this article, a simple method for the 3D microfabrication of complex high-aspect structures in a one mask lithography process is described, where the main idea is to randomize the collimated light by using an optical diffuser to generate intensity distributions in the photoresist.
Abstract: This paper describes a simple method for the three-dimensional (3D) microfabrication of complex high-aspect structures in a one mask lithography process. The method relies on an unconventional way of performing gray-tone lithography. The main idea is to randomize the collimated light by using an optical diffuser to generate intensity distributions in the photoresist. The resist topography is determined by the density of open and opaque squares in the photomask. The resulting 3D resist is then transferred into 3D silicon structures by using reactive ion etching and deep reactive ion etching.

Journal ArticleDOI
TL;DR: In this paper, a 10-nm-thick MgB 2 thin film with the superconducting transition temperature T c ǫ = 21 K was synthesized by using molecular-beam epitaxy.
Abstract: We report the fabrication of MgB 2 nanowire and its optical response. A 10-nm-thick MgB 2 thin film with the superconducting transition temperature T c = 21 K was synthesized by using molecular-beam epitaxy. The film was processed into a nanowire with a width of 300 nm and a length of 10 μm using e-beam lithography and Ar-ion milling. With a dc bias current applied close to the critical current ( I c ), the nanowire showed an electrical signal when illuminated by a laser pulse at the telecommunications wavelength.

Journal ArticleDOI
TL;DR: Techniques for fabricating nanospaced electrodes suitable for studying electron tunneling through metal-molecule-metal junctions are described and it is shown that any electrical artifacts introduced by the electrode fabrication process are more easily deconvoluted from the intrinsic properties of the molecule.
Abstract: Techniques for fabricating nanospaced electrodes suitable for studying electron tunneling through metal-molecule-metal junctions are described In one approach, top contacts are deposited/placed on a self-assembled monolayer or Langmuir-Blodgett film resting on a conducting substrate, the bottom contact The molecular component serves as a permanent spacer that controls and limits the electrode separations The top contact can be a thermally deposited metal film, liquid mercury drop, scanning probe tip, metallic wire or particle Introduction of the top contact can greatly affect the electrical conductance of the intervening molecular film by chemical reaction, exerting pressure, or simply migrating through the organic layer Alternatively, vacant nanogaps can be fabricated and the molecular component subsequently inserted Strategies for constructing vacant nanogaps include mechanical break junction, electromigration, shadow mask lithography, focused ion beam deposition, chemical and electrochemical plating techniques, electron-beam lithography, and molecular and atomic rulers The size of the nanogaps must be small enough to allow the molecule to connect both leads and large enough to keep the molecules in a relaxed and undistorted state A significant advantage of using vacant nanogaps in the construction of metal-molecule-metal devices is that the junction can be characterized with and without the molecule in place Any electrical artifacts introduced by the electrode fabrication process are more easily deconvoluted from the intrinsic properties of the molecule

Journal ArticleDOI
TL;DR: A novel procedure combining monolayer self-assembly with electron beam lithography has been developed for attaching ferritin nanoparticles to a submicron thin-film SQUID (superconducting quantum interference device).
Abstract: A novel procedure combining monolayer self-assembly with electron beam lithography has been developed for attaching ferritin nanoparticles to a submicron thin-film SQUID (superconducting quantum interference device). After opening a window in the PMMA (polymethylmethacrylate) resist, organic linker molecules are used to attach ferritin to the exposed parts of the gold overlayer of a Nb nanoSQUID. This allows the magnetic nanoparticles to be located optimally as far as magnetic coupling to the nanoSQUID is concerned.