scispace - formally typeset
Search or ask a question

Showing papers on "X-ray lithography published in 2011"


Journal ArticleDOI
TL;DR: This article aims at providing a tutorial review with both introductory materials and inspiring information on microfluidic fabrication and sensing for nonspecialists.
Abstract: Here we introduce the existing fabrication techniques, detection methods, and related techniques for microfluidic sensing, with an emphasis on the detection techniques. A general survey and comparison of the fabrication techniques were given, including prototyping (hot embossing, inject molding, and soft lithography) and direct fabrication (laser micromachining, photolithography, lithography, and x-ray lithography) techniques. This is followed by an in-depth look at detection techniques: optical, electrochemical, mass spectrometry, as well as nuclear magnetic resonance spectroscopy-based sensing approaches and related techniques. In the end, we highlight several of the most important issues for future work on microfluidic sensing. This article aims at providing a tutorial review with both introductory materials and inspiring information on microfluidic fabrication and sensing for nonspecialists.

184 citations


Journal ArticleDOI
TL;DR: These results show the performance of optical nanopatterning in the sub-10 nm range and currently mark the record for photon-based lithography, with highly efficient and optimized molybdenum gratings.
Abstract: Extreme ultraviolet (EUV) lithography is currently considered as the leading technology for high-volume manufacturing below sub-20 nm feature sizes. In parallel, EUV interference lithography based on interference transmission gratings has emerged as a powerful tool for industrial and academic research. In this paper, we demonstrate nanopatterning with sub-10 nm resolution using this technique. Highly efficient and optimized molybdenum gratings result in resolved line/space patterns down to 8 nm half-pitch and show modulation down to 6 nm half-pitch. These results show the performance of optical nanopatterning in the sub-10 nm range and currently mark the record for photon-based lithography. Moreover, an efficient phase mask completely suppressing the zeroth-order diffraction and providing 50 nm line/space patterns over large areas is evaluated. Such efficient phase masks pave the way towards table-top EUV interference lithography systems.

159 citations


Journal ArticleDOI
TL;DR: In this paper, the authors provide a survey of conventional and unconventional lithography techniques used to fabricate complex micro-and nano-structured surfaces, including printing, molding, and embossing.

149 citations


Journal ArticleDOI
TL;DR: The photoinduced surface-relief grating (SRG) formation has been intensively investigated in various types of azobenzene-containing materials and continually keeps finding new potential applications.
Abstract: Azobenzene-containing polymers (azopolymers) have attracted great interest due to their potential use in various technological applications, including holographic recording, photomechanics, diffractive optics, and microand nanopatterning. [ 1–7 ] These applications are brought about by the effi cient and reversible photoisomerization of the azobenzene moieties between a rodlike trans-state and a bent cis-state, which is accompanied by various changes in the properties of the material system both at molecular and macroscopic levels. [ 7 , 8 ] Remarkably, the photoisomerization can give rise to signifi cant surface mass transport phenomena, allowing one-step inscription of high-quality, thermally stable photoinduced surface patterns onto the azopolymer fi lm. Since its fi rst demonstration in 1995, [ 9 , 10 ] the photoinduced surface-relief grating (SRG) formation has been intensively investigated in various types of azobenzene-containing materials. [ 11–15 ] The phenomenon continually keeps fi nding new potential applications. Recently, the SRGs have been combined with organic solar cells and lasers, [ 16 , 17 ] carbon-based nanomaterials, [ 18 ] and block-copolymer nanostructures. [ 19 ] Furthermore, in recent years, azopolymer-based patterns have been increasingly used as templates for fabricating periodic arrays of, e.g., titanium dioxide, [ 20–22 ] indium tin oxide, [ 23 ] and metallic [ 24 , 25 ]

111 citations


Journal ArticleDOI
TL;DR: A 3D nanolithography process that utilizes self-assembled nanospheres to create a periodic array of focal spots, which are then replicated across multiple depth in a transparent medium according to the Talbot effect is presented.
Abstract: A number of “top-down” lithographic and “bottom-up” self-assembly methods have been developed to fabricate three-dimensional (3D) nanostructures to support the recent advances in nanotechnology. But they are limited by a number of factors such as fabrication cost, pattern resolution, and/or flexibility of geometry. Here we present a 3D nanolithography process that utilizes self-assembled nanospheres to create a periodic array of focal spots, which are then replicated across multiple depth in a transparent medium according to the Talbot effect. The Talbot field then exposes a pattern onto the underlying photoresist, recording the 3D intensity distribution. We have demonstrated designable complex 3D periodic structures with 80 nm minimum feature size, roughly one-fourth of the operating wavelength. This approach combines 2D colloidal self-assembly and 3D phase lithography, is robust, cost-effective, and widely applicable to nanoscale research and manufacturing.

106 citations


Journal ArticleDOI
TL;DR: A new paradigm of far-field optical lithography is introduced, optical force stamping lithography, which employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit.
Abstract: Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used.

90 citations


Journal ArticleDOI
TL;DR: The parallel patterning of multiple optical-fiber facets using nanoimprint lithography on a novel platform features a self-alignment mechanism that greatly relaxes the mechanical requirements, allowing for the demonstration of a compact, portable imprinting-module and the accommodation of non-planar, biological molds.
Abstract: We demonstrate the parallel patterning of multiple optical-fiber facets using nanoimprint lithography on a novel platform. A resolution of better than 15 nm is demonstrated and up to 40 optical-fiber facets have been imprinted in parallel. The lithography platform features a self-alignment mechanism (see figure) that greatly relaxes the mechanical requirements, allowing for the demonstration of a compact, portable imprinting-module and the accommodation of non-planar, biological molds. The imprinted fibers are metalized and employed as bi-directional probes for surface-enhanced Raman scattering.

77 citations


Proceedings ArticleDOI
TL;DR: In this article, a metal oxide patternable hardmask was designed for EUV lithography, which is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon).
Abstract: This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.

74 citations


Journal ArticleDOI
TL;DR: Lithography using neon ions with fluence <1 ion/nm(2), ∼1000× more efficient than using 30 keV electrons, and resolution down to 7 nm half-pitch is reported, expected to impact a wide array of fields that are dependent on beam-based lithography.
Abstract: Existing techniques for electron- and ion-beam lithography, routinely employed for nanoscale device fabrication and mask/mold prototyping, do not simultaneously achieve efficient (low fluence) exposure and high resolution. We report lithography using neon ions with fluence <1 ion/nm2, ∼1000× more efficient than using 30 keV electrons, and resolution down to 7 nm half-pitch. This combination of resolution and exposure efficiency is expected to impact a wide array of fields that are dependent on beam-based lithography.

72 citations


Book Chapter
01 Jan 2011
TL;DR: In this paper, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photo-sensitive layer, which can be reduced by the use of antireflection coatings, but the thickness of these coatings depends on the angle of exposure and the material property or combination of materials in thin films.
Abstract: In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the basic setup, with special attention for the optical aspects. The pros and cons of different types of resist as well as the limitations and errors of the setup are discussed. The bottleneck in Laser Interference Lithography is the presence of internal reflection in the photo-resist layer. These reflections can be reduced by the use of antireflection coatings. However the thicknesses of these coatings depends on the angle of exposure and the material property or combination of materials in thin films. We show with some examples how to deal with this issue. Finally we show examples of more complex patterns that can be realized by multiple exposures.

61 citations


Proceedings ArticleDOI
TL;DR: In this article, a transparent, high refractive index inorganic photoresist with significantly higher etch resistance was developed, which was shown superior resolution with both E-beam and 193 nm lithography, producing sub-50 nm patterns.
Abstract: We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process, creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography, producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line edge roughness (LER).

Journal ArticleDOI
TL;DR: Micrometer-scale monolayer patterns of a phosphorus-containing molecular precursor are fabricated on nearly intrinsic Si(100) using nanoimprint lithography to diffuse the phosphorus dopant atoms into the bulk silicon locally.
Abstract: Micrometer-scale monolayer patterns of a phosphorus-containing molecular precursor are fabricated on nearly intrinsic Si(100) using nanoimprint lithography. The patterned sample is protected by a SiO2capping layer applied by electron beam evaporation and subjected to rapid thermal annealing (RTA) to diffuse the phosphorus dopant atoms into the bulk silicon locally.

Journal ArticleDOI
TL;DR: The soft nano-imprint technique also enables the fabrication of gratings with sub-wavelength pitch, which avoids diffraction losses in the laser cavity, and the resulting single-mode VCSEL devices exhibit 29% enhanced efficiency compared to devices equipped with diffractive gratings.
Abstract: We present a novel method for fabricating polarization-stable oxide-confined single-mode GaAs based vertical cavity surface emitting lasers (VCSELs) emitting at 850 nm using a new soft-lithography nano-imprint technique. A monolithic surface grating is etched in the output mirror of the laser cavity using a directly imprinted silica-based sol‐gel imprint resist as an etch mask. The opto-electronic performance of these devices is compared to VCSELs fabricated by state-of-the-art electron-beam lithography. The lasers made using the soft nano-imprint technique show single-mode TM lasing at a threshold and laser slope similar to that of devices made by e-beam lithography. The soft nano-imprint technique also enables the fabrication of gratings with sub-wavelength pitch, which avoids diffraction losses in the laser cavity. The resulting single-mode VCSEL devices exhibit 29% enhanced efficiency compared to devices equipped with diffractive gratings. (Some figures may appear in colour only in the online journal)

Journal ArticleDOI
TL;DR: In this article, a novel process for the fabrication of 3D patterns with both vertical and inclined profiles in close vicinity on the same substrate was presented, using dose-modulated electron-beam lithography (EBL) in polymeric resist combined with a thermal annealing (reflow) post-treatment at a moderate temperature.

Journal ArticleDOI
TL;DR: In this article, nano-in-micro-patterned heterogeneous substrates and Pt electrodes are prepared via organic/inorganic self-assembly combined with deep X-ray lithography.
Abstract: Nano-in-micro-patterned heterogeneous substrates and Pt electrodes are prepared via organic/inorganic self-assembly combined with deep X-ray lithography. Nanoperforated titania membranes are obtained through dip coating from a sol–gel solution using block copolymer micelles as templating agent. Such thin films are selectively exposed to the X-ray radiation that leads to the structural local densification and permits the selective etching of the unexposed layer. Structural as well as electrochemical characterizations demonstrate that the final materials are micrometric features of a sub-10 nm thick perforated titania membrane that guarantees the accessibility to the substrate. This system was also applied to a conductive platinum-coated silicon surface to achieve micrometric features of nanoelectrode arrays. This scalable strategy of combining bottom-up and top-down approaches is thereby promising for various applications involving smart functional devices.

Journal ArticleDOI
TL;DR: In this paper, the authors report on the room-temperature operation of Si nanowires (SiNWs) based single-electron transistors (SETs) fabricated based on the top-down approach using conventional optical lithography.
Abstract: We report on room-temperature operation of Si nanowires (SiNWs) based single-electron transistors (SETs) fabricated based on the top-down approach using conventional optical lithography. The SETs exhibit strong Coulomb oscillation at room temperature due to extreme small size of SiNW, which has a diameter of 4 nm. The optical lithography approach is attractive compared to the commonly used electron beam lithography for the fabrication of SETs because it offers the possibility of integrating Si single-electron electronics with CMOS technology.

Patent
Ching-Yu Chang1
19 May 2011
TL;DR: An immersion lithography resist material comprising a matrix polymer having a first polarity and an additive having a second polarity that is substantially greater than the first is described in this article.
Abstract: An immersion lithography resist material comprising a matrix polymer having a first polarity and an additive having a second polarity that is substantially greater than the first polarity. The additive may have a molecular weight that is less than about 1000 Dalton. The immersion lithography resist material may have a contact angle that is substantially greater than the contact angle of the matrix polymer.

01 Jun 2011
TL;DR: In this paper, the effects of mask absorber thickness on printability in EUV lithography was studied from the viewpoint of lithographic requirements which can give high imaging contrast and reduce shadowing effect.
Abstract: The effects of mask absorber thickness on printability in EUV lithography was studied from the viewpoint of lithographic requirements which can give high imaging contrast and reduce shadowing effect From lithography simulation, optimum thickness range of mask absorber (LR- TaBN) for exposure latitude was predicted, and the effect of absorber thickness on MEF and H-V (Horizontal - Vertical) printed CD difference was determined using resist blur model From printability experiments with a Small Field Exposure Tool (SFET) and with high resolution resist, optimum thickness of LR-TaBN absorber was demonstrated When thinner absorber mask is employed in EUVL for ULSI chip production, it becomes necessary to introduce EUV light shield area in order to suppress the leakage of EUV light from neighboring exposure shots Resist pattern CD change from the neighboring exposure shots was estimated by lithography simulation Keywords: EUV lithography, mask, absorber, shadowing effect, reflectivity, phase, resist

Proceedings ArticleDOI
Hua Song1, Lena Zavyalova1, Irene Su1, James P. Shiely1, Thomas Schmoeller1 
TL;DR: In this paper, a systematic approach for shadowing effect modeling and model-based shadowing compensation is presented, with reticle and scan information, and model calibration and mask synthesis flows are described.
Abstract: Extreme ultraviolet (EUV) lithography is one of the leading technologies for 16nm and smaller node device patterning. One patterning issue intrinsic to EUV lithography is the shadowing effect due to oblique illumination at the mask and mask absorber thickness. This effect can cause CD errors up to a few nanometers, consequently needs to be accounted for in OPC modeling and compensated accordingly in mask synthesis. Because of the dependence on the reticle field coordinates, shadowing effect is very different from the traditional optical and resist effects. It poses challenges to modeling, compensation, and verification that were not encountered in tradition optical lithography mask synthesis. In this paper, we present a systematic approach for shadowing effect modeling and model-based shadowing compensation. Edge based shadowing effect calculation with reticle and scan information is presented. Model calibration and mask synthesis flows are described. Numerical experiments are performed to demonstrate the effectiveness of the approach.

Journal ArticleDOI
TL;DR: In this paper, the alpha-linked disaccharide formed by a 1,1-glucoside bond between two glucose units in dextrin derivatives was used to control the highly sensitive EB patterning and developer properties.
Abstract: We investigated electron beam (EB) lithography using a novel highly sensitive negative type of plant-based resist material derived from biomass on a hardmask layer for trilayer processes. The chemical design concept for using the plant-based resist material with glucose and dextrin derivatives was first demonstrated in the EB lithography. The 1 µm line patterning images with highly efficient crosslinking properties and low film thickness shrinkage were provided under specific process conditions of EB lithography. The results shown reveal that the alpha-linked disaccharide formed by a 1,1-glucoside bond between two glucose units in dextrin derivatives was an important factor in controlling the highly sensitive EB patterning and developer properties.

Journal ArticleDOI
TL;DR: In this paper, the design and manufacturing of planar planar x-ray lenses focusing in one and two directions, as well as the peculiarities of optical behaviors and the results of the lens test are reported.
Abstract: The principles of design and manufacturing of the polymer planar x-ray lenses focusing in one and two directions, as well as the peculiarities of optical behaviors and the results of the lens test are reported in this paper. The methods of electron and deep x-ray lithography used in lens manufacturing allow the manufacture of ten or more x-ray lenses on one substrate; the lenses show focal lengths down to several centimeters for photon energies between 5 and 40 keV. The measured focus size was 105 nm for a linear lens with an intensity gain of about 407, and 300 x 770 nm for a crossed lens with an intensity gain of 6470.

Journal ArticleDOI
TL;DR: In this paper, a poly(methyl methacrylate) resist with moderate initial molecular weight of 120 kg/mol was chosen, which enabled processing with both nanoimprint and electron beam lithography.
Abstract: Sloped and stepped 3D structures were added to surface-patterned resists using grey-scale electron beam lithography and thermal reflow. A poly(methyl methacrylate) resist with moderate initial molecular weight of 120 kg/mol was chosen, which enabled processing with both nanoimprint and electron beam lithography. Using proper exposure doses, a molecular weight distribution was generated that allowed a selective thermal postprocessing of the exposed steps while the imprinted gratings on top of the resist were preserved. This allows fabricating mixed structures of microprisms surrounded by large-area nanogratings in the same resist layer. Working stamps were casted from the template pattern and subsequently replicated using thermal nanoimprint. As a possible application, backlight devices with arrays of light outcoupling prisms can be seen.

Book ChapterDOI
02 Dec 2011
TL;DR: In this paper, the authors proposed the use of a programmable spatial light modulator (SLM) for optical direct-write (ODW) and ion beam lithography (IBL) methods.
Abstract: Optical lithography is the unrivalled mainstream patterning method that allows for costefficient, high-volume fabrication of microand nanoelectronic devices. Current optical photolithography allows for structures with a reproducible resolution below 32 nm. Nevertheless, alternative lithography methods coexist and excel in all cases where the requirement for a photomask is a disadvantage. Especially for low-volume fabrication of microdevices, the need for a photomask is inefficient and restricts a fast structuring, such as required for prototype device development and for the modification and repair of devices. The necessity of high-resolution masks with a price well above €10k is too cost intensive for the fabrication of single test devices. For this reason ‘direct-write’ approaches have emerged that are popular for several niche applications, such as mask repair and chip repair. Optical direct-write lithography and electron beam lithography are among the most prominent techniques of direct-write lithography. Less known, but highly versatile and powerful, is the ion beam lithography (IBL) method. Optical direct-write lithography uses laser beam writers with a programmable spatial light modulator (SLM). With 500 mm2/minute write speed and advanced 3D lithography capabilities, optical direct-write lithography is also suitable for commercial microchip fabrication. However, with a resolution of 0.6-μm minimum feature size of the photoresist pattern, optical direct-write lithography cannot be considered a nanopatterning method. Electron beam lithography uses a focused electron beam to expose an electron beam resist. Gaussian beam tools operate with electron beams with a diameter below 1 nm so that true nanofabrication of structures is feasible. A resolution of 10 nm minimum feature size of the e-beam resist pattern has been successfully demonstrated with this method. However, special resists are required for e-beam lithography, that are compatible with the high energy of forward scattered, back-scattered and secondary electrons. A common resist for sub-50nm resolution is polymethylmetacrylate (PMMA) requiring an exposure dose above 0.2 μC/μm2. For highest resolution (below 20 nm) inorganic resists such as hydrogen silsesquioxane (HSQ) or aluminium fluoride (AlF3) are used, which unfortunately require a high electron exposure dose. Hence, high-resolution electron beam lithography (EBL) is linked to long exposure times which, in combination with a single scanning beam, results in slow processing times. Therefore, this high-resolution method is only used for writing photomasks for optical projection lithography and for a limited number of high-end applications. A resolution to this dilemma may be the use of multi-beam electron tools, as are currently under development. Also electron projection lithography has been under

Journal ArticleDOI
TL;DR: In this article, a photosensitive polymer composite is utilized to fabricate precise dielectric-resonator antenna structures using deep-X-ray lithography, and the multimode operation and miniaturization aspects of strip-fed composite antennas with very low permittivity are investigated for the first time.
Abstract: Artificially modified materials are becoming increasingly important in antenna design. Attractive features make polymer composites very promising materials for improving the fabrication process and antenna performance. In this study, a photosensitive polymer composite is utilized to fabricate precise dielectric-resonator antenna structures using deep-X-ray lithography. The multimode operation and miniaturization aspects of strip-fed composite antennas with very low permittivity (er <; 5 ) are investigated for the first time. The prototype antenna offers a -10 dB impedance bandwidth of 48%, from 18.8 GHz to 30.7 GHz, and gain in the range of 5 dBi. The nonradiating modes are removed by the special boundary conditions enforced by the vertical strip. Stable radiation patterns and low cross-polarization levels over the entire impedance bandwidth are therefore preserved. Further improvements in impedance bandwidth are presented, and the antenna performance and fabrication processes are discussed.

Journal ArticleDOI
TL;DR: Orthogonal gradients of resist composition, baking temperatures, and development time as well as dose variations inside writing fields are used to prepare ternary combinatorial libraries for an efficient stepwise optimization of a molecular glass negative tone resist system.
Abstract: Electron beam lithography is a powerful technique for the production of nanostructures but pattern quality depends on numerous interacting process variables. Orthogonal gradients of resist composition, baking temperatures, and development time as well as dose variations inside writing fields are used to prepare ternary combinatorial libraries for an efficient stepwise optimization of a molecular glass negative tone resist system.

Journal ArticleDOI
TL;DR: In this article, a cylindrical projection lithography (CLitho) device was developed for patterning 3D complex-shaped microstructures along the surface of a cylinrical substrate.

Journal ArticleDOI
TL;DR: In this paper, a positive tone resist for UV and X-ray lithography synthesized starting from an organically modified silicon alkoxide, bis(triethoxysilyl)benzene, through the sol-gel method, either in basic or in acid catalysis, is presented.
Abstract: A positive tone resist for UV and X-ray lithography synthesized starting from an organically modified silicon alkoxide, bis(triethoxysilyl)benzene, through the sol–gel method, either in basic or in acid catalysis, is presented. Being directly photo-processable, the sol–gel system combines the opportunity to avoid the use of a sacrificial layer in the fabrication process, with the possibility to fit electro-optical and structural properties of the final device material to specific requirements. In addition, the positive tone behaviour allows to preserve the organic functionality of the system after irradiation. A study of the optical and structural modifications induced on the resist by irradiation has been carried out by FT-IR spectroscopy, UV–vis spectroscopy and spectroscopic ellipsometry. An interpretation of the mechanisms leading to exposed cross-linked film development is given. Experiments have demonstrated the possibility of obtaining structures on films with lateral dimensions spanning from the micron scale up to less than a hundred nm, opening the way to a possible exploitation of such positive tone functional system in the field of miniaturized sensors.

Journal ArticleDOI
TL;DR: By thermally optimizing the thickness of the intermediate layer, this paper demonstrates the fabrication of interconnected 3D photonic structures with arbitrary symmetry through a single laser exposure, and enables a CMOS-compatible monolithic integration of 3DPhotonics structures with other integrated optical elements and waveguides.
Abstract: We report a combined holographic and nanoimprinting lithography technique to produce three-dimensional woodpile photonic crystal templates through only one single exposure. The interference lithography process uses an integratable diffractive optical element for large throughout 3D pattern manufacturing. The diffractive optical element consists of two layers of phase grating separated by an intermediate layer, fabricated by repeated nanoimprinting lithography, followed by an SU8 photoresist bonding technique. Grating periods, relative orientation, diffraction angle, and efficiency, as well as layer to layer phase delay, are well designed during manufacturing. By thermally optimizing the thickness of the intermediate layer, this paper demonstrates the fabrication of interconnected 3D photonic structures with arbitrary symmetry through a single laser exposure. The two-layer phase mask approach enables a CMOS-compatible monolithic integration of 3D photonic structures with other integrated optical elements and waveguides.

Journal ArticleDOI
TL;DR: In this article, a 9-30nm half-pitch nested Ls and 13-15nm dot arrays were fabricated using 2keV electron-beam lithography with hydrogen silsesquioxane (HSQ) as the resist.

Patent
15 Apr 2011
TL;DR: In this paper, an EUV lithography device including an illumination device for illuminating a mask at an illumination position in the EUV-lithography device and a projection device for imaging a structure provided on the mask onto a light-sensitive substrate is described.
Abstract: An EUV lithography device including an illumination device for illuminating a mask at an illumination position in the EUV lithography device and a projection device for imaging a structure provided on the mask onto a light-sensitive substrate. The EUV lithography device has a processing device (15) for processing an optical element (6 a), in particular the mask, preferably in a locally resolved manner, at a processing position in the EUV lithography device. For activating at least one gas component of the gas stream (27), the processing device (15) includes a particle generator (30) for generating a particle beam, in particular an electron beam (30 a), and/or a high-frequency generator.