scispace - formally typeset
Search or ask a question

Showing papers on "X-ray lithography published in 2017"


Journal ArticleDOI
TL;DR: In this paper, the authors describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.
Abstract: Abstract Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML’s NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

67 citations


Journal ArticleDOI
01 Nov 2017-ACS Nano
TL;DR: This work studied the transfer reliability and the achievable resolution as a function of applied temperature and force in thermal scanning probe lithography (t-SPL), and found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation.
Abstract: High-resolution lithography often involves thin resist layers which pose a challenge for pattern characterization. Direct evidence that the pattern was well-defined and can be used for device fabrication is provided if a successful pattern transfer is demonstrated. In the case of thermal scanning probe lithography (t-SPL), highest resolutions are achieved for shallow patterns. In this work, we study the transfer reliability and the achievable resolution as a function of applied temperature and force. Pattern transfer was reliable if a pattern depth of more than 3 nm was reached and the walls between the patterned lines were slightly elevated. Using this geometry as a benchmark, we studied the formation of 10–20 nm half-pitch dense lines as a function of the applied force and temperature. We found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation. At this temperature, there still is con...

59 citations


Journal ArticleDOI
TL;DR: In this paper, a plasmonic cavity lithography is proposed to fabricate metasurface holograms, which can effectively amplify the evanescent waves and modulate the electric field components on imaging plane.
Abstract: Metasurface holograms consisting of nanostructures have shown great promise for various applications due to their unique capability of shaping light. Usually, they are fabricated by point-by-point scanning method, such as focused ion beam and electron beam lithography, which would greatly hamper their applications due to the high cost and low yield. In this work, plasmonic cavity lithography is proposed to fabricate metasurface holograms. The lithography system consists of Cr mask and plasmonic cavity that compose of 20 nm Ag/30 nm photoresist/50 nm Ag, where an air separation layer exists between them to avoid contamination and damage of mask patterns. The simulated results show that the cavity can effectively amplify the evanescent waves and modulate the electric field components on imaging plane, resulting in greatly improved resolution and fidelity compared to near field and superlens lithography. In experiments, the Au metaholograms are fabricated by the proposed lithography method and following etching processes. Furthermore, the designed holographic image of character “E” is successfully observed with the fabricated hologram. This approach is believed to open up a batch fabrication way for reproducing many copies of a metasurface hologram.

50 citations


Journal ArticleDOI
TL;DR: In this article, a sub-micron Josephson junction is constructed using electron-beam lithography and an additive process to define the top and bottom electrodes of a superconducting qubit.
Abstract: Fabrication of sub-micron Josephson junctions is demonstrated using standard processing techniques for high-coherence, superconducting qubits These junctions are made in two separate lithography steps with normal-angle evaporation Most significantly, this work demonstrates that it is possible to achieve high coherence with junctions formed on aluminum surfaces cleaned in situ by Ar plasma before junction oxidation This method eliminates the angle-dependent shadow masks typically used for small junctions Therefore, this is conducive to the implementation of typical methods for improving margins and yield using conventional CMOS processing The current method uses electron-beam lithography and an additive process to define the top and bottom electrodes Extension of this work to optical lithography and subtractive processes is discussed

50 citations


Proceedings ArticleDOI
TL;DR: In this paper, the Displacement Talbot lithography was used to pattern gratings with equal lines and spaces and periods in the range of 1.0 to 2.4 μm.
Abstract: Despite the fact that the resolution of conventional contact/proximity lithography can reach feature sizes down to ~0.5- 0.6 micrometers, the accurate control of the linewidth and uniformity becomes already very challenging for gratings with periods in the range of 1-2 μm. This is particularly relevant for the exposure of large areas and wafers thinner than 300 μm. If the wafer or mask surface is not fully flat due to any kind of defects, such as bowing/warpage or remaining topography of the surface in case of overlay exposures, noticeable linewidth variations or complete failure of lithography step will occur. We utilized the newly developed Displacement Talbot lithography to pattern gratings with equal lines and spaces and periods in the range of 1.0 to 2.4 μm. The exposures in this lithography process do not require contact between the mask and the wafer, which makes it essentially insensitive to surface planarity and enables exposures with very high linewidth uniformity on thin and even slightly deformed wafers. We demonstrated pattern transfer of such exposures into Si substrates by reactive ion etching using the Bosch process. An etching depth of 30 μm or more for the whole range of periods was achieved, which corresponds to very high aspect ratios up to 60:1. The application of the fabricated gratings in phase contrast x-ray imaging is presented.

26 citations


Journal ArticleDOI
TL;DR: In this paper, an effective method was presented to obtain a specific micro and nano dual-structures by amplitude modulation in multi-beam laser interference lithography (LIL), where Moire effect was applied to generate the amplitude modulation.
Abstract: In this work, an effective method was presented to obtain a specific micro and nano dual-structures by amplitude modulation in multi-beam laser interference lithography (LIL). Moire effect was applied to generate the amplitude modulation. The specific intensity modulation patterns can be obtained by the control of the parameter settings of incident laser beams. Both the incident angle and azimuth angle asymmetric configurations can cause the amplitude modulation in the interference optic field and the modulation period is determined by the angle offset. A four-beam LIL system was set up to fabricate patterns on photoresist and verify the method. The experimental results are in good agreement with the theoretical analysis.

26 citations


Journal ArticleDOI
TL;DR: In this article, a detailed investigation was carried out on a zinc-oxo cluster photoresist combined with deep ultraviolet (DUV) lithography, showing the impact of both DUV irradiation and thermal treatment on material patterning.
Abstract: Metal oxo cluster photoresists have drawn a lot of attention in recent years due to their interesting properties combining the advantages of both inorganic and organic features. Their organic functionalities offer the possibility of patterning them by photon or electron beam lithography into metal oxide micro or nanostructures. Thanks to the inorganic metal oxide frameworks, these patterns show higher etch resistance and refractive index in the visible light range than neat organic patterns. Moreover, the nanosize of the photoresist building blocks makes them suitable for high resolution lithography. To have an in-depth understanding of the effect of physicochemical parameters on the size, shape and physical properties of photo-patterned structures, a detailed investigation was carried out on a zinc-oxo cluster photoresist combined with deep ultraviolet (DUV) lithography. The results show the impact of both DUV irradiation and thermal treatment on material patterning. The impact of crystallization is particularly discussed since it has a strong influence on the shape of patterns.

23 citations


Book
16 Oct 2017
TL;DR: In this article, a grating-based X-ray phase contrast imaging technique was proposed to overcome the limits of conventional Xray imaging in the detection of subtle density differences and open a way to characterize a sample's microstructure without the need for ultrahigh spatial resolution.
Abstract: Grating based X-ray phase contrast imaging sets out to overcome the limits of conventional X-ray imaging in the detection of subtle density differences and opens a way to characterize a sample’s microstructure without the need for ultrahigh spatial resolution. The technique relies on grating structures with micrometric periods and extreme aspect ratio – their fabrication by X-ray lithography with optimal structure quality is the topic of this work. Umfang: X, 138 S. Preis: €41.00 | £38.00 | $72.00

22 citations


Journal ArticleDOI
TL;DR: In this paper, a method to fabricate large-area arrays of nanocolumns without a deep-UV laser source is reported, where displacement Talbot lithography (DTL) using a monochromatic UV beam (365 nm wavelength) with plasma etching techniques is used.
Abstract: A method to fabricate large-area arrays of nanocolumns without a deep-UV laser source is reported. This method allows high-yield fabrication of 3 x 3 cm(2) arrays of sub-30 nm nanocolumns made of bottom antireflection layer coating (BARC) by combining displacement Talbot lithography (DTL) using a monochromatic UV beam (365 nm wavelength) with plasma etching techniques. DTL is used to manufacture an initial pattern of periodic photo-resist nanocolumns with a diameter of similar or equal to 110 nm. N-2 plasma can transfer this pattern at a 1: 1 ratio to BARC nanocolumns. It is found that reactive O-2/N-2 plasma etching on the other hand can shrink the BARC nanocolumns to sub-30 nm dimensions. The shrink-etching process can be reproducibly controlled by tuning the gas flow ratio and the etching time. It is highly remarkable that the verticality of these BARC nanocolumns remains during O-2/N-2 plasma shrink etching. Combining the etching of O-2/N-2 plasma with N-2 plasma allows to produce BARC nanocolumns over the entire diameter range from 110 to sub-30 nm. The fabrication approach enables large-footprint fabrication of size-tunable periodic nanostructures that have many potential applications in photonics, electronics, biosensors, smart surfaces, catalysis, and biomedical analysis

20 citations


Journal ArticleDOI
TL;DR: An optimized sub-pattern construction method, described as the combination of wobulation techniques and the continuous scanning lithography process, is proposed and the effectiveness of the above-mentioned method that improves the edge smoothness of the lithography pattern is demonstrated.
Abstract: The resolution of digital micro-mirror device (DMD) scanning lithography is limited in the transverse direction (the scanning direction is vertical) as a result of the compacted size of the DMD micro-mirror and the low magnification of the projection lens. Above-stated restrictions lead to an unsatisfactory saw-tooth edge (size ~one DMD pixel) after the lithography process within all directions except for the scanning orientation. In order to smooth the edge, an optimized sub-pattern construction method, described as the combination of wobulation techniques and the continuous scanning lithography process, is proposed. Afterward, lithography experiments were implemented by introducing the wobulation techniques within the DMD scanning lithography system. The experimental results show that the saw-tooth edge is reduced to nearly 0.5 pixel size after 1/2 pixel dislocation superposition exposure, and is even scaled down to less than 0.1 pixel after 1/4 pixel dislocation superposition exposure. At this point, the edge of the lithography pattern is appropriately smoothed. The effectiveness of the above-mentioned method that improves the edge smoothness of the lithography pattern is demonstrated.

19 citations


Proceedings ArticleDOI
TL;DR: In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.
Abstract: EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

Journal ArticleDOI
TL;DR: This work provides new insights into the natural propensity of elastomeric stamps to self-collapse and demonstrates a means of exploiting this behavior to achieve patterning via nanoscale chemical lift-off lithography.
Abstract: We report a facile, high-throughput soft lithography process that utilizes nanoscale channels formed naturally at the edges of microscale relief features on soft, elastomeric stamps. Upon contact with self-assembled monolayer (SAM) functionalized substrates, the roof of the stamp collapses, resulting in the selective removal of SAM molecules via a chemical lift-off process. With this technique, which we call self-collapse lithography (SCL), sub-30 nm patterns were achieved readily using masters with microscale features prepared by conventional photolithography. The feature sizes of the chemical patterns can be varied continuously from ∼2 μm to below 30 nm by decreasing stamp relief heights from 1 μm to 50 nm. Likewise, for fixed relief heights, reducing the stamp Young’s modulus from ∼2.0 to ∼0.8 MPa resulted in shrinking the features of resulting patterns from ∼400 to ∼100 nm. The self-collapse mechanism was studied using finite element simulation methods to model the competition between adhesion and res...

Journal ArticleDOI
TL;DR: In this paper, a cross-grating with short period and double layer is designed, and a method combining holographic lithography and lithography-etch-lithography -etch is proposed to manufacture it.

Journal ArticleDOI
TL;DR: The newly-developed backside milling method is applied to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL.
Abstract: Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

Journal ArticleDOI
13 Jul 2017-ACS Nano
TL;DR: The development of oblique colloidal lithography (OCL) is described and a systematic patterning strategy for creating libraries of nanosized nonconcentric plasmonic structures is established to enable the high-throughput investigation of plAsmonic coupling and Fano resonances as a function of the physical parameters of the elements within the nanopattern array.
Abstract: Herein, we describe the development of oblique colloidal lithography (OCL) and establish a systematic patterning strategy for creating libraries of nanosized nonconcentric plasmonic structures. This strategy combines OCL, capillary force lithography, and several wet and ion etching steps. Hexagonal arrays of nonconcentric gold features were created on glass substrates with highly controllable geometric parameters. The size, geometry, and eccentricity of the gold features could be independently tuned by controlling the experimental conditions. Gaps within surface elements could be shrunk to as small as 30 nm, while the total patterned area was about l cm2. The goal was to devise a method that offers a high degree of control over the resolution and morphology of asymmetric structures without the need to resort to electron beam lithography. This technique also enabled the development of numerous surface patterns through the stepwise fabrication of separate elements. Complex features, including dots-surrounde...

Posted Content
TL;DR: In this article, the authors demonstrate 3D nano-imprinting using master samples initially structured by two-photon lithography, and demonstrate printing on textured surfaces as well as residue-free printing with silver ink using capillary action.
Abstract: We demonstrate three-dimensional (3-D) nanoimprint lithography using master samples initially structured by two-photon lithography. Complex geometries like micro prisms, micro parabolic concentrators, micro lenses and other micrometer sized objects with nanoscale features are three-dimensionally fabricated using two-photon lithography. Stamps made out of polydimethylsiloxane are then cast using the two-photon lithographically structured samples as master samples. Hereby, expensive serial nano 3-D printing is transformed into scalable parallel 3-D nanoimprint lithography. Furthermore, the transition from two-photon lithography to imprint lithography increases the freedom in substrate and ink choice significantly. We demonstrate printing on textured surfaces as well as residue-free printing with silver ink using capillary action.

Journal ArticleDOI
TL;DR: This paper presents the extension of the existing mask aligner illumination system by replacing the mercury vapor lamp with a solid-state laser, and shows versatile results like simple binary patterns of squares and triangles, as well as a more complex lateral shape like a blazed grating.
Abstract: Mask aligner lithography is a well-established back-end fabrication process in microlithography. Within the last few years, resolution enhancement techniques have been transferred and adapted from projection lithography to further develop mask aligner lithography, especially concerning achievable resolution. Nonetheless, current technology using a mercury vapor lamp as a light source has reached its limits, e.g. for high-resolution pattering. Within this paper, we present the extension of the existing mask aligner illumination system by replacing the mercury vapor lamp with a solid-state laser. Full-field mask aligner lithography is guaranteed by a rotating diffuser expanding the laser beam and minimizing undesired speckle effects. An additional integrated galvanometer scanner allows a flexible choice of arbitrary angular spectrum distributions of the photomask illumination. We show versatile results like simple binary patterns of squares and triangles, as well as a more complex lateral shape like a blazed grating.

Proceedings ArticleDOI
16 Oct 2017
TL;DR: In this article, a four-step mask fabrication process of contacthole masks with HPs of 50 nm, 40 nm and 30 nm over areas of 1 × 1 mm2 is presented.
Abstract: The EUV laboratory exposure tool (EUV-LET) is a versatile stand-alone resist patterning tool. Main applications are resist characterization for industrial lithography as well as the patterning of high-resolution arrays over large areas as required in research and small-volume production. High-resolution patterns are achieved by interference lithography based on the achromatic Talbot effect. The theoretical resolution limit for achromatic Talbot lithography (ATL) of 10 nm half-pitch (HP) is mainly resist-limited, as long as necessary high-resolution transmission masks can be fabricated. In this paper we focus on the transmission mask fabrication technology with a low number of process steps that allows to maximize yield and offers high flexibility. Diffraction order efficiencies (DOEs) of the masks are optimized to achieve maximal aerial image contrast (MTF) in the achromatic Talbot distance. The developed four-step mask fabrication process of contact-hole masks with HPs of 50 nm, 40 nm and 30 nm over areas of 1 × 1 mm2 is presented. The transmission masks are characterized within the EUV-LET to determine the achievable MTF that can be used for resist patterning and characterization. Based on the carried out in-tool measurements the achievable MTF is 63.7 % and the total diffraction efficiency into the 1st diffraction orders (DOs) is 41.7 % (both for 50-nm-HP masks) which makes the masks perfectly suited for the achromatic Talbot approach. Furthermore, we demonstrate high-resolution patterning down to 28 nm HP describing an effective in-lab tool that can be used at facilities for the characterization of photoresists for the upcoming lithography generations.

Journal ArticleDOI
TL;DR: This study is aimed at expanding the resolution limits of ecofriendly ethanol-developable processes for electron-beam lithography using a positive-tone dextrin resist material with high hydrophilicity on a cellulose-based underlayer.
Abstract: From the viewpoints of the utilization of agricultural resources and advanced use of biomass, this study is aimed at expanding the resolution limits of ecofriendly ethanol-developable processes for electron-beam lithography using a positive-tone dextrin resist material with high hydrophilicity on a cellulose-based underlayer. The images of 20-nm-hole and 40-nm-line patterns with an exposure dose of approximately 1800 µC/cm2 were provided by ecofriendly ethanol-developable processes instead of the common development processes using tetramethylammonium hydroxide and organic solvents. The CF4 etching selectivity of the positive-tone dextrin resist material was approximately 10% lower than that of the polymethyl methacrylate used as a reference resist material.

Proceedings ArticleDOI
TL;DR: In this paper, a new molecular resist system based on the multi-trigger concept was developed for patterning 14 nm half-pitch (hp) lines with a line width roughness of 2.7 nm at the XIL beamline of the Swiss Light source.
Abstract: Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the multi-trigger concept. A series of studies such as resist purification, developer choice,and enhanced resist crosslinking were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 2.7 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to pattern 14 nm hp features with dose of 14 mJ/cm2 with an LWR of 4.9 nm. We have also begun to investigate the addition of high-Z additives to EUV photoresist as a means to increase sensitivity and modify secondary electron blur.

Journal ArticleDOI
TL;DR: The concept of a miniaturized compound refractive X-ray zoom lens consisting of SU-8 lenses fabricated by deepX-ray lithography is introduced, useful in tuning the magnification factor in full field microscopy.
Abstract: We introduce the concept of a miniaturized compound refractive X-ray zoom lens consisting of SU-8 lenses fabricated by deep X-ray lithography. The focal length can be varied by changing the number of lens elements placed in the beam. We use suitable actuators to move single lens elements reversibly out of the beam. The X-ray zoom lens can accept different X-ray energies while keeping a fixed working distance, or vary the focal distance for a fixed energy. The latter is useful in tuning the magnification factor in full field microscopy.

Journal ArticleDOI
TL;DR: Techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate are described and it is demonstrated that such resists are sensitive to both ultraviolet and electronbeam irradiation.
Abstract: We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

Proceedings ArticleDOI
16 Oct 2017
TL;DR: In this paper, the influence of atmospheric refractive index, laser frequency fluctuations, and thermomechanical drifts on the exposed dose contrast and hence on profile variations for surface-corrugated gratings are discussed.
Abstract: Reproducible manufacturing especially of large diffraction gratings using two-beam laser interference lithography gives rise to exceptional requirements on the stability of environmental conditions like temperature, air pressure, humidity, vibrations as well as a robust exposure setup using stable components, a highly coherent, frequency-stable laser and highquality optics. In our contribution, these requirements are reviewed systematically. The influences of atmospheric refractive index, laser frequency fluctuations, and thermomechanical drifts on the exposed dose contrast and hence on profile variations for surface-corrugated gratings are discussed. Moreover, mid-spatial frequency surface-errors of the used optical elements are identified as a main cause for local dose variations. Reasonable specifications for series manufacturing of grating masters are given and real-world measurement data from a holography laboratory is presented to illustrate the interplay between these different influences. This experimental data includes atomic force microscope scans of highgroove density resist gratings, spatially resolved diffraction efficiency measurements and moire-interferometric measurements of the fringe stability. The results of our analysis are also useful for other holographic manufacturing facilities, including the manufacturing of surface and volume holographic optical elements of any kind.

Journal ArticleDOI
TL;DR: In this paper, a polystyrene (PS) colloidal crystal is self-assembled from a 3D colloidal lattice using electron-beam lithography, and the material can be used to fabricate narrow, down to a few micron wide metallic leads.
Abstract: We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

Journal ArticleDOI
TL;DR: This approach, being compatible with complementary metal-oxide-semiconductor (CMOS) fabrication processes regardless of the source of graphene, would hold promise for the large-scale production of graphene-based transistors with optical lithography.
Abstract: High-performance graphene-based transistors crucially depend on the creation of the high-quality graphene–metal contacts Here we report an approach for achieving ultralow contact resistance simply with optical lithography by engineering a metal–graphene interface Note that a significant improvement with optical lithography for the contact-treated graphene device leads to a contact resistance as low as 150 Ω·μm The residue-free sacrificial film impedes the photoresist from further doping graphene, and all of the source and drain contact regions defined by optical lithography remain intact This approach, being compatible with complementary metal–oxide–semiconductor (CMOS) fabrication processes regardless of the source of graphene, would hold promise for the large-scale production of graphene-based transistors with optical lithography

Journal ArticleDOI
TL;DR: In this paper, high aspect ratio microstructures were fabricated by action of synchrotron x-ray on the synthesized tetraacrylate monomer: 3-[4-({4]-2,3-bis(prop-2-enoyloxy)propoxy]phenyl}sulfanyl)phenoxy]-3-(prop- 2-enyloxy)propan-2 -yl prop-2-,enoate.

Journal ArticleDOI
TL;DR: In this article, a low-cost and high-resolution surface plasmon lithography (SPL) prototype was presented by utilizing surface plasmons, where a metal-photoresist-plasmonic cavity lens was adopted to amplify evanescent waves, thereby addressing the issues of poor fidelity, low contrast, and short working distance suffered from the conventional near-field optical lithography.
Abstract: In this paper, the authors present a low-cost and high-resolution lithography prototype by utilizing surface plasmons. In the surface plasmon lithography (SPL) prototype, a metal-photoresist-metal plasmonic cavity lens is adopted to amplify evanescent waves, thereby addressing the issues of poor fidelity, low contrast, and short working distance suffered from the conventional near-field optical lithography. The authors achieved the photoresist patterns with high resolution, high contrast, and high exposure depth experimentally by using an Hg lamp with 365 nm wavelength. Hole array patterns with radius of 50 nm and period of 160 nm were realized. Moreover, the grating array patterns with line width of 32(60) nm and period of 64(120) nm were successfully achieved. Furthermore, the authors introduced a step exposure method to fabricate a 5 × 5 array of grating patterns with a step length of 300 μm and the uniform patterns cover the whole area of about 2 × 2 mm2. Step exposure is valuable, which makes SPL hav...

Journal ArticleDOI
TL;DR: In this article, the authors describe a group of patterning techniques, wherein localized tip-sample interactions are utilized in order to directly or indirectly generate nanoscale features via a resist film.

Journal ArticleDOI
TL;DR: In this article, chitosan, a natural and abundant polymer soluble in water-based solutions, was assessed as a positive and water developable resist for a two-layer e-beam lithography and as a mask for transfer by etching in silica.
Abstract: Current chemicals used in electron beam (e-beam) lithography generate safety and waste management issues. To replace them, chitosan, a natural and abundant polymer soluble in water based solutions, was assessed as a positive and water developable resist for a two-layer e-beam lithography and as a mask for transfer by etching in silica. Fifty nanometer line patterns were successfully obtained in a chitosan film by e-beam lithography at doses between 160 and 300 μC cm−2, then, transferred into a silica layer by CHF3 plasma reactive ion etching with respect of the feature dimensions.

Journal ArticleDOI
TL;DR: This article reports the investigation of the potential of optical Söller collimators in combination with luminescent concentrators for lens-less, short-distance, and shape-independent thin-film imaging.
Abstract: This article reports our investigation of the potential of optical Soller collimators in combination with luminescent concentrators for lens-less, short-distance, and shape-independent thin-film imaging. We discuss optical imaging capabilities and limitations, and present first prototypes and results. Modern 3D laser lithography and deep X-ray lithography support the manufacturing of extremely fine collimator structures that pave the way for flexible and scalable thin-film cameras that are far thinner than 1 mm (including optical imaging and color sensor layers).