scispace - formally typeset
Open AccessJournal ArticleDOI

Temperature dependencies of hydrogen-induced blistering of thin film multilayers

Alexey Kuznetsov, +2 more
- 07 May 2014 - 
- Vol. 115, Iss: 17, pp 173510
Reads0
Chats0
TLDR
In this article, the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers was investigated and it was shown that the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200°C but decreases thereafter.
Abstract
We report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200 °C but decreases thereafter. Comparison as a function of sample temperature is made between exposures to a flux containing both hydrogen ions and neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is observed for exposure temperatures ≥90 °C. The inclusion of ions promotes blister formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-induced effects become less evident with increasing exposure temperature. At 200 °C, the main effect discernable is reduced blister size as compared with the equivalent neutral-only exposure. The temperature during exposure is a much stronger determinant of the blistering outcome than either pre- or post-annealing of the sample. The trends observed for neutral-only exposures are attributed to competing effects of defect density thermal equilibration and H-atom induced modification of the Si layers. Energetic ions modify the blistering via (temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.

read more

Content maybe subject to copyright    Report

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
Temperature dependencies of hydrogen-induced blistering of thin film multilayers
A.S. Kuznetsov
1
, M.A. Gleeson
1,1
and F.Bijkerk
1,2
1
DIFFER Dutch Institute for Fundamental Energy Research, Postbus 1207, 3430 BE Nieuwegein, The
Netherlands.
2
MESA
+
Institute for Nanotechnology, University of Twente, Postbus 217, 7500 AE Enschede, The
Netherlands.
Abstract
We report on the influence of sample temperature on the development of hydrogen-induced
blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters
decreases with increasing exposure temperature, whereas individual blister size increases with
exposure temperatures up to ~200 °C but decreases thereafter. Comparison as a function of
sample temperature is made between exposures to a flux containing both hydrogen ions and
neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is
observed for exposure temperatures 90 °C. The inclusion of ions promotes blister
formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-
induced effects become less evident with increasing exposure temperature. At 200 °C the
main effect discernable is reduced blister size as compared with the equivalent neutral-only
exposure. The temperature during exposure is a much stronger determinant of the blistering
outcome than either pre- or post-annealing of the sample. The trends observed for neutral-
only exposures are attributed to competing effects of defect density thermal equilibration
and H-atom induced modification of the Si layers. Energetic ions modify the blistering via
(temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.
1
Corresponding author: M.A.Gleeson@differ.nl
1

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
1. Introduction
Hydrogen-induced blistering of materials is a complex phenomenon
1-3
that can have
a strong dependence on the exposure conditions, with sample temperature being one of the
critical parameters. The temperature dependence of blister formation is an open issue in
fusion research where hydrogen retention
4-6
and hydrogen-induced damage to plasma-facing
materials
7-9
are being investigated. In relation to thin films, blister and crater formation
occurs during annealing of hydrogenated Si-Ge multilayer (ML) structures
10
. Heating can
induce structural modifications such as relaxation and crystalline phase transformation,
promote diffusion and nucleation, and in the case of mixed-material systems induce
intermixing and compound formation. All such changes influence the behaviour of
hydrogen within the system.
The selection of appropriate annealing/exposure temperature is important for the
process optimization in thin layer transfer techniques both for standard ion implantation and
strained-layer driven layer detachment
11-21
. Localization of either hydrogen or stabilized
vacancy complexes (depending on the specifics of the strain states) at interfaces in layered
materials is a commonly observed phenomenon. It is proposed as a means of producing
better quality thin silicon layers for silicon-on-insulator related applications
18-20
. Layer quality
is improved because the use of buried interfaces to control detachment allows for significant
reduction, or even elimination, of the energetic ion fluence required to induce delamination.
In this work we report on the influence of sample temperature on the development
of blisters in Mo/Si ML samples. These samples are composed of alternating layers of
nanometer thick amorphous Si (a-Si) and polycrystalline Mo with mixed Mo-Si interfacial
regions
22
. They are susceptible to two distinct hydrogen-induced blistering processes
23-26
,
which are attributed to the formation of H
2
-filled blisters and to the formation and clustering
2

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
of hydrogen-vacancy complexes producing void blister
24
. The former occurs under the
influence of thermal H-atom irradiation; the latter process is observed when energetic (100’s
of eV) ions are present in the irradiating flux. These processes are not entirely independent.
In particular, the inclusion of energetic ions in the irradiating flux modifies the development
and growth of H
2
-filled blisters
24
.
Hydrogen-induced blistering in such MLs is observed to be localized near the Mo-
on-Si interfaces
23
. This is attributed the strained states and defects that are introduced near
these interfaces by the transition between materials and the local atomic structures
24
. In the
case of exposure to a neutral-only hydrogen flux, blistering was the result of layer
delamination occurring exclusively at the outermost Mo-on-Si interface. When ions were
also present in the irradiating flux delamination was observed at the outermost two Mo-on-
Si interfaces. Apart from direct penetration to the second and deeper bilayers, energetic ions
were proposed to induce transport of hydrogen from gas-filled bubbles near the outermost
Mo-on-Si interface across the mixed-phase interfacial region into the underlying Si layer.
A preliminary study on the temperature dependence of blister formation in Mo/Si
MLs illustrated that the substrate temperature had a significant impact on the formation of
blisters
26
. However, the complexity of the system left many open questions since the MLs
themselves are subject to structural deterioration as a result of annealing
27-29
. This is primarily
the result of increased intermixing at the interfaces resulting in the growth of molybdenum
silicide layers at the expense of the individual Mo and Si layers. This induces an overall
compaction of the ML structure as the silicide layers produced are more dense than those of
their original elemental constituents.
This manuscript aims to provide a detailed evaluation of the influence of sample
temperature on the formation of blisters in these structures. Atomic force microscopy
3

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
(AFM) measurements are used as the basis for evaluating the extent and type of blistering.
Comparison is made between the temperature dependencies in the case of exposure to an
exclusively neutral flux and to a flux containing energetic ions. In addition, the relative
importance of the sample temperature during hydrogen irradiation as compared with either
pre- or post-annealing of the sample at higher temperatures than during the actual exposure
is studied. Substrate temperature during the exposure is demonstrated to have the biggest
impact on the outcome.
2. Experimental.
The Mo/Si ML samples investigated in this work are similar to those studied
previously
23-26
. They were deposited on a super-polished Si wafer by magnetron sputtering
with additional ion polishing of the deposited Si layers. The thicknesses of individual layers
in the samples were ~3 nm for Mo and ~4 nm for Si. The samples were transferred through
air both prior to and post hydrogen exposure and no pre-treatment step was applied before
either exposure or analysis.
The hydrogen source was a capillary-type thermal cracker (Oxford Applied Research
TC50). It was operated at a power of 55 W with a 1 SCCM H
2
flow. In addition to the
neutral atomic and molecular hydrogen flux, the source also produced an ion current on the
order of ~75 nA. The majority of ions produced have energies >800 eV, due to the positive
bias (+1000 V) used to e-beam heat the capillary
24
.
Samples were exposed for 5 hours to the unmodified hydrogen flux from the
thermal capillary cracker at various set-point temperatures ranging from 50 °C to 275 °C,
under otherwise identical conditions. They were stabilized at the desired target temperature
prior to commencing exposure. Heating was radiative, via a back-mounted filament and the
4

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
temperature was monitored and controlled on the basis of a K-type thermocouple that was
spot-welded to one of the sample mounting clamps. Similar exposures were performed with
the addition of a biased deflector plate near to the sample and parallel to the incident particle
direction. A bias of -1100 V was used to deflect charged species from the flux prior to
interaction with the surface. When the bias was applied the drain current measured on the
sample during exposure dropped to <1 nA. Exposures are characterized as “neutral-only” or
“ion+neutral” depending on whether the biased plate was present or absent, respectively.
Damage to exposed samples was typically evident to the naked eye as a
discoloration/dulling of the original mirror-like surface. Regions covered by clamps during
the exposure always appeared undamaged. Exposed samples were characterized by optical
microscopy and atomic force microscopy (AFM). For samples exhibiting non-uniform
damage, the analysis was performed in the region exhibiting the most damaged. In the
current work this corresponds to the on-axis position of the cracker capillary. In cases where
no damage was visible or where the damage appeared uniform, the analysis position was
selected with reference to the non-uniform samples.
3. Results.
3.1. Temperature dependencies of “ion+neutral” and “neutral-only” exposures.
As reported in
24
, samples exposed to the ion+neutral flux at temperatures (T
exposure
)
of 85-100 °C typically exhibited a distinctive concentric damage pattern on the surface. This
is primarily due to the presence of ions; when a neutral-only flux is used the damage across
the exposed surface appears uniform. After the current ion+neutral exposures, the samples
exposed at temperatures between 50 °C and 100 °C again exhibited the well-defined central
spot surrounded by regions of more diffuse discoloration. Those exposed at 150 °C and 200
5

Figures
Citations
More filters
Journal ArticleDOI

Influence of internal stress and layer thickness on the formation of hydrogen induced thin film blisters in Mo/Si multilayers

TL;DR: In this paper, the authors investigated the impact of intrinsic stress on blister formation in multilayers by varying the Si thickness between 3.4-11 nm and changing the hydrogen ion exposure conditions.
Journal ArticleDOI

Hydrogen diffusion out of ruthenium-an ab initio study of the role of adsorbates.

TL;DR: D density functional theory is used to examine the ways in which hydrogen, having entered the near-surface interstitial voids, can migrate further into the metal or to its surface, and suggests control and modification of surface conditions as a way to influence hydrogen retention and blistering.
Journal ArticleDOI

Evaluation of Hydrogen-Induced Blistering of Mo/Si Multilayers with a Capping Layer

TL;DR: In this paper , the blister formation process of Mo/Si multilayers with a capping layer was investigated using a high-frequency hydrogen plasma system as a hydrogen ion source under varying hydrogen ion exposure conditions.
Journal ArticleDOI

Diffusion and reaction kinetics governing surface blistering in radio frequency sputtered hydrogenated a-SixGe1-x (0 ≤ x ≤ 1) thin films

TL;DR: In this article, the authors proposed a theoretical model aimed at a better understanding of the mechanisms determining the blistering, taking into particular account the contribution of the diffusion of H atoms from SiH and/or GeH complexes.
Journal ArticleDOI

Tin deposition on ruthenium and its influence on blistering in multi-layer mirrors

TL;DR: In this paper, the authors used density functional theory to show that tin deposition on a clean ruthenium surface exhibits a film-plus-islands (Stranski-Krastanov) growth mode, with the first atomic layer bonding strongly to the substrate.
References
More filters
Journal ArticleDOI

Mechanism of hydrogen-induced crystallization of amorphous silicon

TL;DR: The mechanism of hydrogen-induced crystallization of hydrogenated amorphous silicon films during post-deposition treatment with an H2 (or D2) plasma is reported, which is mediated by the insertion of H atoms into strained Si–Si bonds as the atoms diffuse through the film.
Journal ArticleDOI

On the mechanism of the hydrogen-induced exfoliation of silicon

TL;DR: In this paper, the fundamental mechanism underlying hydrogen-induced exfoliation of silicon, using a combination of spectroscopic and microscopic techniques, was investigated, and the evolution of the internal defect structure as a function of implanted hydrogen concentration and annealing temperature was studied.
Journal ArticleDOI

Hydrogen bubbles in metals

TL;DR: In this paper, a review of hydrogen bubble formation in metals is presented, focusing on those areas of bubble formation where the distinct chemical character of hydrogen is important, and a distinction is made between nucleation and subsequent cavity growth mechanisms.
Journal ArticleDOI

Defect equilibria in undoped a -Si:H

R. A. Street, +1 more
- 15 Sep 1989 - 
TL;DR: Experimental and theoretical studies of the thermal equilibrium defect density in undoped a-Si:H confirm that defect equilibration occurs over a range of temperatures and sample deposition conditions and propose that the time dependence of the relaxation is related to the shape of the valence-band-tail distribution.
Journal ArticleDOI

A transmission electron microscopy quantitative study of the growth kinetics of H platelets in Si

TL;DR: In this paper, the authors used transmission electron microscopy (TEM) to quantitatively study the thermal behavior of cavities and found that the cavities grow in size, reduce their density, while the overall volume they occupy remains constant.
Related Papers (5)
Frequently Asked Questions (1)
Q1. What contributions have the authors mentioned in the paper "Temperature dependencies of hydrogen-induced blistering of thin film multilayers" ?

The authors report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. Energetic ions modify the blistering via ( temperature dependent ) enhancement of H-mobility and re-crystallization of amorphous Si. 1 Corresponding author: M. A. Gleeson @ differ.