scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2013"


Journal ArticleDOI
TL;DR: In this article, a review of approaches aiming at translating this success in optical microscopy to optical lithography is presented, and basic principles and limitations, possible depletion mechanisms and recent lithography experiments by various groups are summarized.
Abstract: Direct laser writing has become a versatile and routine tool for the mask-free fabrication of polymer structures with lateral linewidths down to less than 100 nm. In contrast to its planar counterpart, electron-beam lithography, direct laser writing also allows for the making of three-dimensional structures. However, its spatial resolution has been restricted by diffraction. Clearly, linewidths and resolutions on the scale of few tens of nanometers and below are highly desirable for various applications in nanotechnology. In visible-light far-field fluorescence microscopy, the concept of stimulated emission depletion (STED) introduced in 1994 has led to spectacular record resolutions down to 5.6 nm in 2009. This review addresses approaches aiming at translating this success in optical microscopy to optical lithography. After explaining basic principles and limitations, possible depletion mechanisms and recent lithography experiments by various groups are summarized. Today, Abbe's diffraction barrier as well as the generalized two-photon Sparrow criterion have been broken in far-field optical lithography. For further future progress in resolution, the development of novel tailored photoresists in combination with attractive laser sources is of utmost importance.

545 citations


Journal ArticleDOI
TL;DR: This result has paved the way towards portable three-dimensional maskless laser direct writing with resolution fully comparable to electron beam lithography with dependence of the feature size and the two-line resolution in a newly developed two-photon absorption resin with high mechanical strength.
Abstract: The current nanofabrication techniques including electron beam lithography provide fabrication resolution in the nanometre range. The major limitation of these techniques is their incapability of arbitrary three-dimensional nanofabrication. This has stimulated the rapid development of far-field three-dimensional optical beam lithography where a laser beam is focused for maskless direct writing. However, the diffraction nature of light is a barrier for achieving nanometre feature and resolution in optical beam lithography. Here we report on three-dimensional optical beam lithography with 9 nm feature size and 52 nm two-line resolution in a newly developed two-photon absorption resin with high mechanical strength. The revealed dependence of the feature size and the two-line resolution confirms that they can reach deep sub-diffraction scale but are limited by the mechanical strength of the new resin. Our result has paved the way towards portable three-dimensional maskless laser direct writing with resolution fully comparable to electron beam lithography.

420 citations


Journal ArticleDOI
TL;DR: This work investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope and achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist.
Abstract: We investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope. We achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist. We also analyzed the resolution limits of this technique by measuring the point-spread function at 200 keV. Furthermore, we measured the energy loss in the resist using electron-energy-loss spectroscopy.

381 citations


Journal ArticleDOI
TL;DR: Extremely fast nanolithography using printed semiconducting nanowire arrays provide a simple, reliable method of fabricating large-area and flexible nano-electronics.
Abstract: Controlled alignment and patterning of individual semiconducting nanowires at a desired position in a large area is a key requirement for electronic device applications. High-speed, large-area printing of highly aligned individual nanowires that allows control of the exact numbers of wires, and their orientations and dimensions is a significant challenge for practical electronics applications. Here we use a high-speed electrohydrodynamic organic nanowire printer to print large-area organic semiconducting nanowire arrays directly on device substrates in a precisely, individually controlled manner; this method also enables sophisticated large-area nanowire lithography for nano-electronics. We achieve a maximum field-effect mobility up to 9.7 cm(2) V(-1) s(-1) with extremely low contact resistance (<5.53 Ω cm), even in nano-channel transistors based on single-stranded semiconducting nanowires. We also demonstrate complementary inverter circuit arrays comprising well-aligned p-type and n-type organic semiconducting nanowires. Extremely fast nanolithography using printed semiconducting nanowire arrays provide a simple, reliable method of fabricating large-area and flexible nano-electronics.

266 citations


Journal ArticleDOI
TL;DR: In this article, the authors highlight the recent progress in the development of the directed self-assembly process for practical utilization in semiconductor applications and highlight the practical advantages anticipated from directed selfassembly integration, such as pattern density multiplication, feature size uniformity improvement, line edge roughness reduction, and cost reduction.

261 citations


Journal ArticleDOI
TL;DR: The proposed architecture offers several advantages including better immunity to short channel effects, reduction of device-to-device variability, and nanometer gate length patterning without the need for high-resolution lithography, important in the large-scale manufacture of low-power transistors and memory devices.
Abstract: Nanowire-based field-effect transistors are among the most promising means of overcoming the limits of today's planar silicon electronic devices, in part because of their suitability for gate-all-around architectures, which provide perfect electrostatic control and facilitate further reductions in “ultimate” transistor size while maintaining low leakage currents. However, an architecture combining a scalable and reproducible structure with good electrical performance has yet to be demonstrated. Here, we report a high performance field-effect transistor implemented on massively parallel dense vertical nanowire arrays with silicided source/drain contacts and scaled metallic gate length fabricated using a simple process. The proposed architecture offers several advantages including better immunity to short channel effects, reduction of device-to-device variability, and nanometer gate length patterning without the need for high-resolution lithography. These benefits are important in the large-scale manufacture of low-power transistors and memory devices.

157 citations


Journal ArticleDOI
18 Feb 2013-Polymer
TL;DR: In this paper, the introduction of segments containing inorganic elements into block copolymers can help to address these issues and can also allow the direct deposition of functional materials such as metal nanoparticles.

151 citations


Journal ArticleDOI
Hak Jong Choi1, Soyoung Choo1, Ju Hyeon Shin1, Kang In Kim2, Heon Lee1 
TL;DR: In this paper, the fabrication of superhydrophobic and oleophobic surfaces with an overhang structure by reverse nanoimprint lithography was reported, which is difficult to fabricate by conventional lithography; however, it was conveniently formed by reverse imprint lithography, employed in conjunction with reactive ion etching.
Abstract: This work reports the fabrication of superhydrophobic and oleophobic surfaces with an overhang structure by reverse nanoimprint lithography. An overhang structure is difficult to fabricate by conventional lithography; however, it was conveniently formed by reverse imprint lithography, employed in conjunction with reactive ion etching. The obtained overhang structure was coated with a fluoroalkylsilane monolayer to reduce its surface energy. Further, four different types of nanopatterns were separately embedded on the surface of the obtained overhang structure by modified reverse imprint lithography to enhance its oil-repelling properties. The embedded nanopatterns resulted in different overhang angles, thereby enhancing the oil-repelling properties. The morphology and wetting characteristics of the overhang structure were investigated by scanning electron microscopy and contact angle measurements. This study demonstrates that an overhang structure can be successfully fabricated on a substrate by reverse n...

134 citations


Journal ArticleDOI
TL;DR: In this article, the resist materials and processes among the key technologies of extreme ultraviolet (EUV) lithography are reviewed and the focus of the development has shifted to the 16 nm node and beyond.
Abstract: Extreme ultraviolet (EUV) radiation, the wavelength of which is 13.5 nm, is the most promising exposure source for next-generation semiconductor lithography. The development of EUV lithography has been pursued on a worldwide scale. Over the past decade, the development of EUV lithography has significantly progressed and approached its realization. In this paper, the resist materials and processes among the key technologies of EUV lithography are reviewed. Owing to its intensive development, the resist technology has already closely approached the requirements for the 22 nm node. The focus of the development has shifted to the 16 nm node and beyond. Despite the trade-off relationships among resolution, line edge roughness/line width roughness, and sensitivity, the capability of resist technology will go beyond the 16 nm node.

133 citations


Journal ArticleDOI
TL;DR: This paper surveys key design for manufacturing issues for extreme scaling with emerging nanolithography technologies, including double/multiple patterning lithography, extreme ultraviolet lithographic, and electron-beam lithography.
Abstract: In this paper, we survey key design for manufacturing issues for extreme scaling with emerging nanolithography technologies, including double/multiple patterning lithography, extreme ultraviolet lithography, and electron-beam lithography. These nanolithography and nanopatterning technologies have different manufacturing processes and their unique challenges to very large scale integration (VLSI) physical design, mask synthesis, and so on. It is essential to have close VLSI design and underlying process technology co-optimization to achieve high product quality (power/performance, etc.) and yield while making future scaling cost-effective and worthwhile. Recent results and examples will be discussed to show the enablement and effectiveness of such design and process integration, including lithography model/analysis, mask synthesis, and lithography friendly physical design.

113 citations


Journal ArticleDOI
TL;DR: A polarization splitter and rotator built on the silicon-on-insulator platform shows low insertion loss, low polarization crosstalk, wide bandwidth, and large fabrication tolerance.
Abstract: We propose and demonstrate a polarization splitter and rotator (PSR) built on a silicon-on-insulator platform. The PSR is constructed with a tapered waveguide followed by a 2×2 multimode interferometer and can be simply fabricated in a single lithography and etching step. A low insertion loss ( 50 nm) are experimentally demonstrated.

Journal ArticleDOI
TL;DR: The quality factor of 11 achieved with the HIL fabricated structures matched the theoretically predicted quality factor for the idealized flawless gold resonators calculated by finite-difference time-domain (FDTD).
Abstract: Optical antenna structures have revolutionized the field of nano-optics by confining light to deep subwavelength dimensions for spectroscopy and sensing. In this work, we fabricated coaxial optical antennae with sub-10-nanometer critical dimensions using helium ion lithography (HIL). Wavelength dependent transmission measurements were used to determine the wavelength-dependent optical response. The quality factor of 11 achieved with our HIL fabricated structures matched the theoretically predicted quality factor for the idealized flawless gold resonators calculated by finite-difference time-domain (FDTD). For comparison, coaxial antennae with 30 nm critical dimensions were fabricated using both HIL and the more common Ga focus ion beam lithography (Ga-FIB). The quality factor of the Ga-FIB resonators was 60% of the ideal HIL results for the same design geometry due to limitations in the Ga-FIB fabrication process.

Journal ArticleDOI
TL;DR: In this paper, a deterministic fabrication of sub-μm mesa-structures containing single quantum dots (QDs) by in situ electron-beam lithography was reported.
Abstract: We report on the deterministic fabrication of sub-μm mesa-structures containing single quantum dots (QDs) by in situ electron-beam lithography. The fabrication method is based on a two-step lithography process: After detecting the position and spectral features of single InGaAs QDs by cathodoluminescence (CL) spectroscopy, circular sub-μm mesa-structures are defined by high-resolution electron-beam lithography and subsequent etching. Micro-photoluminescence spectroscopy demonstrates the high optical quality of the single-QD mesa-structures with emission linewidths below 15 μeV and g(2)(0) = 0.04. Our lithography method has an alignment precision better than 100 nm which paves the way for a fully deterministic device technology using in situ CL lithography.

Journal ArticleDOI
TL;DR: This protocol describes the steps required for performing molecular printing using polymer pen lithography (PPL), a cantilever-free scanning probe-based technique that can generate sub-100-nm molecular features in a massively parallel fashion and enables researchers to easily create combinatorial arrays of nanostructures, a powerful approach for high-throughput screening.
Abstract: The challenge of constructing surfaces with nanostructured chemical functionality is central to many areas of biology and biotechnology. This protocol describes the steps required for performing molecular printing using polymer pen lithography (PPL), a cantilever-free scanning probe-based technique that can generate sub-100-nm molecular features in a massively parallel fashion. To illustrate how such molecular printing can be used for a variety of biologically relevant applications, we detail the fabrication of the lithographic apparatus and the deposition of two materials, an alkanethiol and a polymer onto a gold and silicon surface, respectively, and show how the present approach can be used to generate nanostructures composed of proteins and metals. Finally, we describe how PPL enables researchers to easily create combinatorial arrays of nanostructures, a powerful approach for high-throughput screening. A typical protocol for fabricating PPL arrays and printing with the arrays takes 48–72 h to complete, including two overnight waiting steps.

Journal ArticleDOI
TL;DR: An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated, establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs.
Abstract: An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs.

Journal ArticleDOI
TL;DR: In this article, a master pattern generation strategy for bit patterned media (BPM) with rectangular islands is shown using intersecting lines generated by directed self-assembly of lamellar block copolymers in combination with spacer-defined line doubling.
Abstract: Bit patterned media (BPM) provide an alternative to conventional granular thin film recording media, circumventing the challenges of managing grain size and its associated noise and thermal stability issues in granular media. A viable fabrication strategy involves creation of a master pattern by rotary-stage e-beam lithography and directed self-assembly of block copolymers, followed by pattern replication via UV-cure nanoimprint lithography and pattern transfer to a magnetic thin film by ion beam etching. These steps have been demonstrated for 150 Gdot/cm2 (1 Tdot/in2) hcp patterns, achieving a dot placement tolerance of 1.2 nm 1σ and a defect rate of ; 1. A master pattern generation generation strategy for BAR>; 1 with rectangular islands is shown using intersecting lines generated by directed self-assembly of lamellar block copolymers in combination with spacer-defined line doubling.

Journal ArticleDOI
TL;DR: A novel nanofabrication methodology for continuous, scalable, and geometry-tunable lithography is developed, named photo-roll lithography (PRL), by integrating photolithography with rollable processing.
Abstract: A novel nanofabrication methodology for continuous, scalable, and geometry-tunable lithography is developed, named photo-roll lithography (PRL), by integrating photolithography with rollable processing. As a flexible mask attached to a quartz cylinder containing a UV source rolls over a photoresistcoated substrate, PRL realizes continuous photolithographic fabrication of various micro/nanoscale patterns with geometry that is tunable by controlling mask-substrate motions.

Patent
18 Jan 2013
TL;DR: Nanostructured photonic materials and associated components for use in devices and systems operating at ultraviolet (UV), extreme ultraviolet (EUV), and/or soft Xray wavelengths are described in this paper.
Abstract: Nanostructured photonic materials and associated components for use in devices and systems operating at ultraviolet (UV), extreme ultraviolet (EUV), and/or soft Xray wavelengths are described. Such a material may be fabricated with nanoscale features tailored for a selected wavelength range, such as at particular UV, EUV, or soft Xray wavelengths or wavelength ranges. Such a material may be used to make components such as mirrors, lenses or other optics, panels, lightsources, masks, photoresists, or other components for use in applications such as lithography, wafer patterning, biomedical applications, or other applications.

Journal ArticleDOI
TL;DR: In this paper, a deterministic fabrication of sub-um mesa structures containing single quantum dots by in-situ electron-beam lithography was reported. But the fabrication method is based on a two-step lithography process using a low-temperature cathodoluminescence (CL) spectroscopy setup.
Abstract: We report on the deterministic fabrication of sub-um mesa structures containing single quantum dots by in-situ electron-beam lithography. The fabrication method is based on a two-step lithography process using a low-temperature cathodoluminescence (CL) spectroscopy setup. In the first step the position and spectral features of single InGaAs quantum dots (QDs) are detected by CL. Then circular sub-um mesa-structures are exactly defined by high-resolution electron-beam lithography and subsequent etching in the second step. CL spectroscopy and micro-photoluminscence spectroscopy demonstrate the high optical quality of the single-QD mesa-structures with emission linewidths below 15 ueV and g(2)(0) = 0.04. Our lithography method allows for an alignment precision better than 100 nm which paves the way for a fully-deterministic device technology using in-situ CL lithography.

Journal ArticleDOI
TL;DR: A simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas.
Abstract: Three-dimensional hierarchical patterning of metals is of paramount importance in diverse fields involving photonics, controlling surface wettability and wearable electronics. Conventionally, this type of structuring is tedious and usually involves layer-by-layer lithographic patterning. Here, we describe a simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas. The key to achieving such multi-faceted patterning is hysteretic melting of ink, enabling its shaping. It undergoes transformation to metallic palladium under gentle thermal conditions without affecting the integrity of the hierarchical patterns on micro- as well as nanoscale. A metallic rice leaf structure showing anisotropic wetting behavior and woodpile-like structures were thus fabricated. Furthermore, this method is extendable for transferring imprinted structures to a flexible substrate to make them robust enough to sustain numerous bending cycles.

Journal ArticleDOI
01 Aug 2013-Carbon
TL;DR: In this article, a near-infrared femtosecond pulsed laser beam was focused onto a SWCNT-dispersed photo resin, and the laser light solidified a nanometric volume of the resin.

Proceedings ArticleDOI
TL;DR: The key remaining challenge is productivity, which translates to a cost-effective introduction of EUVL in high-volume manufacturing (HVM).
Abstract: All six NXE:3100, 0.25 NA EUV exposure systems are in use at customer sites enabling device development and cycles of learning for early production work in all lithographic segments; Logic, DRAM, MPU, and FLASH memory. NXE EUV lithography has demonstrated imaging and overlay performance both at ASML and end-users that supports sub- 27nm device work. Dedicated chuck overlay performance of <2nm has been shown on all six NXE:3100 systems. The key remaining challenge is productivity, which translates to a cost-effective introduction of EUVL in high-volume manufacturing (HVM). High volume manufacturing of the devices and processes in development is expected to be done with the third generation EUV scanners - the NXE:3300B. The NXE:3300B utilizes an NA of 0.33 and is positioned at a resolution of 22nm which can be extended to 18nm with off-axis illumination. The subsystem performance is improved to support these imaging resolutions and overall productivity enhancements are integrated into the NXE platform consistent with 125 wph. Since EUV reticles currently do not use a pellicle, special attention is given to reticle-addeddefects performance in terms of system design and machine build including maintenance procedures. In this paper we will summarize key lithographic performance of the NXE:3100 and the NXE:3300B, the NXE platform improvements made from learning on NXE:3100 and the Alpha Demo Tool, current status of EUV sources and development for the high-power sources needed for HVM. Finally, the possibilities for EUV roadmap extension will be reviewed.

Proceedings ArticleDOI
TL;DR: In this article, the performance of chemically-amplified resists and inorganic resists using EUV-IL has been evaluated with the aim of resolving patterns with CARs for 16 nm half pitch (HP) and 11 nm HP.
Abstract: The performance of EUV resists is one of the main challenges for the cost-effectiveness and the introduction of EUV lithography into high-volume manufacturing. The EUV interference lithography (EUV-IL) is a simple and powerful technique to print periodic nanostructures with a resolution beyond the capabilities of other tools. In addition, the well-defined and pitch-independent aerial image of the EUV-IL provides further advantages for the analysis of resist performance. In this paper, we present evaluation of chemically-amplified resists (CAR) and inorganic resists using EUV-IL. We illustrate the performance of the tool through a reproducibility study of a baseline resist over the course of 16 months. A comparative study of the performance of different resists is presented with the aim of resolving patterns with CARs for 16 nm half-pitch (HP) and 11 nm HP. Critical dimension (CD) and line-edge roughness (LER) are evaluated as functions of dose for different process conditions. With a CAR with about 10 mJ/cm2 sensitivity, 18 nm L/S patterns are obtained with low LER and well-resolved patterns are achieved down to 16 nm HP. With another CAR of about 35 mJ/cm2 sensitivity, L/S patterns with low LER are demonstrated down to 14 nm HP. Resolved patterns are achieved down to 12 HP, demonstrating the capability of its potential towards 11 nm HP if pattern collapse mitigation can be successfully applied. With EUV-sensitive inorganic resists, patterning down to 8 nm has been realized. In summary, we show that resist platforms with reasonable sensitivities are already available for patterning at 16 nm HP, 11 nm HP, and beyond, although there is still significant progress is needed. We also show that with decreasing HP, pattern collapse becomes a crucial issue limiting the resolution and LER. Therefore resist stability, collapse mitigation, and etch resistance are some of the significant problems to be addressed in the development of resist platforms for future technology nodes.

Journal ArticleDOI
TL;DR: The experimental investigation shows that the Moiré-lenses have a broad adjustable refractive power range with a high efficiency, which allows one to use them for flexible beam steering and for imaging applications.
Abstract: In an earlier publication [Appl. Opt. 47, 3722 (2008)] we suggested an adaptive optical lens, which consists of two cascaded diffractive optical elements (DOEs). Due to the Moire-effect the combined optical element acts as a Fresnel zone lens with a refractive power that can be continuously adjusted by a mutual rotation of the two stacked DOEs. Here we present an experimental realization of this concept. Four designs of these Moire-DOEs (MDOEs) were fabricated in thin (0.7 mm) glass slides by lithography and subsequent etching. Each element was realized as a 16 phase level DOE designed for 633 nm illumination. Our experimental investigation shows that the Moire-lenses have a broad adjustable refractive power range with a high efficiency, which allows one to use them for flexible beam steering and for imaging applications.

Journal ArticleDOI
TL;DR: In this article, resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists and the contribution of protected unit fluctuation to line edge roughness was estimated to be ±0.31 to ± 0.37σ.
Abstract: Extreme ultraviolet (EUV) lithography is promising for the high-volume production of semiconductor devices for the 16 nm node and below. However, the stochastic effect is a significant concern in lithography using high-energy (92.5 eV) photons and highly sensitive resists. In this study, we report a technique for evaluating the stochastic effect on line edge roughness (LER). Resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. The contribution of protected unit fluctuation to LER was estimated to be ±0.31 to ±0.37σ.

Journal ArticleDOI
TL;DR: This paper focuses on developing pixelated gradient-based SMO algorithms based on a vector imaging model that is accurate for current immersion lithography and proposes a hybrid SMO (HSMO) approach to take full advantage of SO, SISMO, and MO, consequently achieving superior performance.
Abstract: Immersion lithography systems with hyper-numerical aperture (hyper-NA) (NA>1) have become indispensable in nanolithography for technology nodes of 45 nm and beyond. Source and mask optimization (SMO) has emerged as a key technique used to further improve the imaging performance of immersion lithography. Recently, a set of pixelated gradient-based SMO approaches were proposed under the scalar imaging models, which are inaccurate for hyper-NA settings. This paper focuses on developing pixelated gradient-based SMO algorithms based on a vector imaging model that is accurate for current immersion lithography. To achieve this goal, an integrative and analytic vector imaging model is first used to formulate the simultaneous SMO (SISMO) and sequential SMO (SESMO) frameworks. A gradient-based algorithm is then exploited to jointly optimize the source and mask. Subsequently, this paper studies and compares the performance of individual source optimization (SO), individual mask optimization (MO), SISMO, and SESMO. Finally, a hybrid SMO (HSMO) approach is proposed to take full advantage of SO, SISMO, and MO, consequently achieving superior performance.



Journal ArticleDOI
TL;DR: By utilizing a reflective plasmonic slab, resolution and fidelity of imaged resist patterns are remarkably improved especially for isolated nano features and near field optical proximity corrections help to improve imaging fidelity of two dimensional nano patterns.
Abstract: By utilizing a reflective plasmonic slab, it is demonstrated numerically and experimentally in this paper deep sub-wavelength imaging lithography for nano characters with about 50 nm line width and dense lines with 32 nm half pitch resolution (about 1/12 wavelength). Compared with the control experiment without reflective plasmonic slab, resolution and fidelity of imaged resist patterns are remarkably improved especially for isolated nano features. Further numerical simulations show that near field optical proximity corrections help to improve imaging fidelity of two dimensional nano patterns.

Journal ArticleDOI
TL;DR: In this article, a waveguide crossing for submicron silicon waveguides with average insertion loss of 0.18±0.03 dB and crosstalk of -41±2 dB, uniform across an 8-inch wafer.
Abstract: We demonstrated a waveguide crossing for submicron silicon waveguides with average insertion loss of 0.18±0.03 dB and crosstalk of -41±2 dB, uniform across an 8-inch wafer. The device was fabricated in a CMOS-compatible process using 248 nm lithography, with only one patterning step.