scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2010"


Journal ArticleDOI
07 May 2010-Science
TL;DR: A scanning probe lithography method based on the local desorption of a glassy organic resist by a heatable probe is presented and demonstrated at a half pitch down to 15 nanometers without proximity corrections and with throughputs approaching those of Gaussian electron beam lithography at similar resolution.
Abstract: For patterning organic resists, optical and electron beam lithography are the most established methods; however, at resolutions below 30 nanometers, inherent problems result from unwanted exposure of the resist in nearby areas. We present a scanning probe lithography method based on the local desorption of a glassy organic resist by a heatable probe. We demonstrate patterning at a half pitch down to 15 nanometers without proximity corrections and with throughputs approaching those of Gaussian electron beam lithography at similar resolution. These patterns can be transferred to other substrates, and material can be removed in successive steps in order to fabricate complex three-dimensional structures.

313 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate a technique to nanofabricate nitrogen vacancy (NV) centers in diamond based on broad-beam nitrogen implantation through apertures in electron beam lithography resist.
Abstract: We demonstrate a technique to nanofabricate nitrogen vacancy (NV) centers in diamond based on broad-beam nitrogen implantation through apertures in electron beam lithography resist. This method enables high-throughput nanofabrication of single NV centers on sub-100-nm length scales. Secondary ion mass spectroscopy measurements facilitate depth profiling of the implanted nitrogen to provide three-dimensional characterization of the NV center spatial distribution. Measurements of NV center coherence with on-chip coplanar waveguides suggest a pathway for incorporating this scalable nanofabrication technique in future quantum applications.

248 citations


Journal ArticleDOI
TL;DR: A novel fabrication approach based on nanostencil lithography for high-throughput fabrication of engineered infrared plasmonic nanorod antenna arrays and it is shown that reflectance spectra of these antenna arrays are comparable to that of arrays fabricated by electron beam lithography.
Abstract: The introduction of high-throughput and high-resolution nanofabrication techniques operating at low cost and low complexity is essential for the advancement of nanoplasmonic and nanophotonic fields. In this paper, we demonstrate a novel fabrication approach based on nanostencil lithography for high-throughput fabrication of engineered infrared plasmonic nanorod antenna arrays. The technique relying on deposition of materials through a shadow mask enables plasmonic substrates supporting spectrally sharp collective resonances. We show that reflectance spectra of these antenna arrays are comparable to that of arrays fabricated by electron beam lithography. We also show that nanostencils can be reused multiple times to fabricate a series of infrared nanoantenna arrays with identical optical responses. Finally, we demonstrate fabrication of plasmonic nanostructures in a variety of shapes with a single metal deposition step on different substrates, including nonconducting ones. Our approach, by enabling the reu...

223 citations


Journal ArticleDOI
TL;DR: The aim of this contribution is to give technical and practical backgrounds in this extremely flexible nanofabrication technique.
Abstract: Electron beam lithography (EBL) is one of the tools of choice for writing micro- and nanostructures on a wide variety of materials. This is largely due to the fact that modern EBL machines are capable of writing nanometer-sized structures on areas up to mm2. The aim of this contribution is to give technical and practical backgrounds in this extremely flexible nanofabrication technique.

197 citations


Journal ArticleDOI
TL;DR: In this article, a design and a top-down fabrication method for realizing diamond nanowires in both bulk single crystal and poly-crystalline diamond is presented, where inductively coupled plasma (ICP) reactive ion etching (RIE) with oxygen is used to fabricate the nanowire.

196 citations


Journal ArticleDOI
TL;DR: High quality microdisk resonators are demonstrated in a Si(3)N(4) on SiO(2) platform at 652-660 nm with integrated in-plane wrap-around coupling waveguides to enable critical coupling to specific microdisk radial modes.
Abstract: High quality (Q ≈ 6 × 105) microdisk resonators are demonstrated in a Si3N4 on SiO2 platform at 652–660 nm with integrated in-plane wrap-around coupling waveguides to enable critical coupling to specific microdisk radial modes. Selective coupling to the first three radial modes with >20dB suppression of the other radial modes is achieved by controlling the wrap-around waveguide width. Advantages of such pulley-coupled microdisk resonators include single mode operation, ease of fabrication due to larger waveguide-resonator gaps, the possibility of resist reflow during the lithography phase to improve microdisk etched surface quality, and the ability to realize highly over-coupled microdisks suitable for low-loss delay lines and add-drop filters.

142 citations


Journal ArticleDOI
TL;DR: Surface-enhanced Raman scattering using gold nanoring substrates is studied and the experimental enhancement factors are compared with the electromagnetic enhancement factors predicted by simulations.
Abstract: Surface-enhanced Raman scattering using gold nanoring substrates is studied. The measured enhancement factors of arrays of single nanorings and nanoring dimers are compared with that of an array of nanodisk dimers. The measured average enhancement factor for the single nanorings is 4.2 x 10(6). The experimental enhancement factors are compared with the electromagnetic enhancement factors predicted by simulations.

134 citations


Journal ArticleDOI
30 Jun 2010-ACS Nano
TL;DR: In this article, the authors report fabrication of nanofluidic channels with asymmetric features (e.g., funnels) that were cast in high modulus poly(dimethylsiloxane) and had well-defined geometries and dimensions.
Abstract: We report fabrication of nanofluidic channels with asymmetric features (e.g., funnels) that were cast in high modulus poly(dimethylsiloxane) and had well-defined geometries and dimensions. Masters used to cast the funnels were written in the negative tone resist SU-8 by electron beam lithography. Replicated funnels had taper angles of 5, 10, and 20° and were 80 nm wide at the tip, 1 μm wide at the base, and 120 nm deep. The planar format permitted easy coupling of the funnels to microfluidic channels and simultaneous electrical and optical characterization of ion transport. All three designs rectified ion current, and the 5° funnel exhibited the highest rectification ratio. Fluorescence measurements at the funnel base showed that an anionic probe was enriched and depleted in the high and low conductance states, respectively.

131 citations


Journal ArticleDOI
TL;DR: A FIB-less fabrication technique to create arrays of vertically oriented gold and copper nanopillars based on patterning polymethylmethacrylate by electron beam lithography and subsequent electroplating into the prescribed template is reported.
Abstract: It has been demonstrated that the mechanical properties of materials change significantly when external dimensions are confined to the nanoscale. Currently, the dominant fabrication method for mechanical testing specimens with nanometer dimensions is by using focused ion beam (FIB) milling, which results in inevitable Ga+ induced damage to the microstructure. Here, we report a FIB-less fabrication technique to create arrays of vertically oriented gold and copper nanopillars based on patterning polymethylmethacrylate by electron beam lithography and subsequent electroplating into the prescribed template. This fabrication process is capable of producing a wide range of microstructures: from single crystals and nanotwinned, to bi-, poly-, and nanocrystalline mechanical testing specimens with diameters from 750 down to 25 nm with the diameter range below 100 nm previously inaccessible by FIB.

128 citations


Journal ArticleDOI
TL;DR: In this article, low loss ridge waveguides and the first ring resonators for the mid-infrared, for wavelengths ranging from 5.4 to 5.6μm, were demonstrated.
Abstract: We demonstrate low loss ridge waveguides and the first ring resonators for the mid-infrared, for wavelengths ranging from 5.4 to 5.6 μm. Structures were fabricated using electron-beam lithography on the silicon-on-sapphire material system. Waveguide losses of 4.0±0.7 dB/cm are achieved, as well as Q-values of 3.0 k.

107 citations


Journal ArticleDOI
TL;DR: In this article, the authors reported that 10-nm half-pitch dense nanostructures can also be readily fabricated using the well known poly(methyl methacrylate) (PMMA) resist operating in negative tone, even at exposure energies as low as 2 keV.
Abstract: Developing high-resolution resists and processes for electron-beam lithography is of great importance for high-density magnetic storage, integrated circuits, and nanoelectronic and nanophotonic devices. Until now, hydrogen silsesquioxane (HSQ) and calixarene were the only two reported negative resists that could approach sub-10-nm half-pitch resolution for electron-beam lithography. Here, the authors report that 10-nm half-pitch dense nanostructures can also be readily fabricated using the well known poly(methyl methacrylate) (PMMA) resist operating in negative tone, even at exposure energies as low as 2 keV. In addition to scanning electron microscopy metrology, transmission electron microscopy metrology was done to confirm the high-resolution capability of negative-tone PMMA. This process was compared to HSQ with salty development and showed similar ultimate resolution, so it could be used as an alternative for applications incompatible with HSQ.

Journal ArticleDOI
TL;DR: Due to the ability of 100 keV electrons to penetrate deep into resist with little scattering, this work was able to directly write various dense and high aspect ratio nanostructures in 540 nm and 1.1 microm thick layers of poly(methyl methacrylate) (PMMA) resist.
Abstract: Due to the ability of 100 keV electrons to penetrate deep into resist with little scattering, we were able to directly write various dense and high aspect ratio nanostructures in 540 nm and 1.1 µm thick layers of poly(methyl methacrylate) (PMMA) resist. The PMMA molds produced by electron beam lithography were developed using a high contrast developer. The molds were used to transfer the pattern into metallic nanostructures by filling the developed trenches with Au by electroplating. By exposing lines narrower than the target width, we observed improved process latitude and line width control. The obtained aspect ratios of the dense structures are nearly 20 in 1.1 µm PMMA layers and > 16 for structures electroplated into this PMMA mold. The fabrication method was successfully applied to produce Au diffractive x-ray Fresnel zone plates of exceptionally good quality with 50 and 70 nm outermost zones using 540 nm and 1.1 µm thick PMMA molds. In addition, we also produced regular arrays of high aspect ratio and dense Au nanorods with periods down to 100 nm and high aspect ratio split-ring resonators.

Journal ArticleDOI
TL;DR: In this article, the authors presented a lithography-free technique for fabrication of clean, high quality graphene devices, which is based on evaporation through hard Si shadow masks, and eliminates contaminants introduced by lithographical processes.
Abstract: We present a lithography-free technique for fabrication of clean, high quality graphene devices. This technique is based on evaporation through hard Si shadow masks, and eliminates contaminants introduced by lithographical processes. We demonstrate that devices fabricated by this technique have significantly higher mobility values than those obtained by standard electron beam lithography. To obtain ultra-high mobility devices, we extend this technique to fabricate suspended graphene samples with mobilities as high as 120 000 cm 2 /(V·s).

Journal ArticleDOI
TL;DR: In this article, 3D electron beam lithography and thermal reflow were combined to fabricate structures with multilevel and continuous profiles, achieving new shapes, smooth surfaces and sharp corners.
Abstract: 3D electron beam lithography and thermal reflow were combined to fabricate structures with multilevel and continuous profiles. New shapes, smooth surfaces and sharp corners were achieved. By using exposure with variable doses, up to 20 steps were fabricated in a 500 nm thick resist with a lateral resolution of 200 nm. Steps were reflowed into continuous slopes by thermal post-processing, and were transferred into silicon substrates by proportional plasma etching. The method can be used for the fabrication of 3D nanoimprint stamps with both sharp features and continuous profiles.

Journal ArticleDOI
TL;DR: A solar-blind deep-UV pass filter, that has a measured optical performance of a 27% transmission peak at 290 nm, a pass-band width of 100 nm, and a 20dB rejection ratio between deep- UV wavelength and visible wavelength, which agrees with a rigorous coupled wave analysis.
Abstract: We designed, fabricated and demonstrated a solar-blind deep-UV pass filter, that has a measured optical performance of a 27% transmission peak at 290 nm, a pass-band width of 100 nm (from 250 to 350 nm), and a 20dB rejection ratio between deep-UV wavelength and visible wavelength. The filter consists of an aluminum nano-grid, which was made by coating 20 nm Al on a SiO(2) square grid with 190 nm pitch, 30 nm linewidth and 250 nm depth. The performances agree with a rigorous coupled wave analysis. The wavelength for the peak transmission and the pass-bandwidth can be tuned through adjusting the metal nano-grid dimensions. The filter was fabricated by nanoimprint lithography, hence is large area and low cost. Combining with Si photodetectors, the filter offers simple yet effective and low cost solar-blind deep-UV detection at either a single device or large-area complex integrated imaging array level.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.
Abstract: The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

Journal ArticleDOI
TL;DR: The strong and reproducible SERS spectroscopy for molecules adsorbed on precisely controlled gold quasi-3D nanostructure arrays on PDMS makes it possible for the integration of SERS-active nanopatterns into microfluidic devices as chemical and biological sensors with molecular specificity.
Abstract: A new method was developed to fabricate unique gold quasi-3D plasmonic nanostructures on poly(dimethylsiloxane) PDMS and 2D nanohole arrays on silicon as surface-enhanced Raman scattering (SERS) substrates using electron beam lithography (EBL) with negative tone resist Ma–N 2403 and soft lithography. The size and shape of nanopillars fabricated by EBL were well controlled via different beam conditions. An enhancement factor (EF) as high as 6.4 × 105 was obtained for 4-mercaptopyridine molecules adsorbed on the gold quasi-3D nanostructure array on PDMS with 400 nm diameter, 100 nm spacing and 300 nm depth, while no enhancement was observed for the gold 2D nanohole array on silicon with the same diameter and spacing. The experimental results were confirmed by finite-difference time-domain (FDTD) calculations. Furthermore, the calculated total electric fields showed that the strong SERS exhibited by the gold quasi-3D nanostructure arrays on PDMS is due to the strong localized electric fields at the gold–air interface of the bottom gold nanodisc. The strong and reproducible SERS spectroscopy for molecules adsorbed on precisely controlled gold quasi-3D nanostructure arrays on PDMS makes it possible for the integration of SERS-active nanopatterns into microfluidic devices as chemical and biological sensors with molecular specificity.

Journal ArticleDOI
TL;DR: In this article, a monodisperse array consisting of pairs of rectangular gold nanoblocks separated by nanogaps was fabricated on glass substrates using electron beam lithography and lift-off techniques.
Abstract: Nanoparticles of noble metals exhibit localized surface plasmons (LSP) associated with enhancement of the electromagnetic field due to its localization in nanometric domains at the surface of nanoparticles. Especially, nanogap metallic structures show strong enhancement of the electromagnetic field at the nanogap position. This can be achieved up to 105 times larger than the incident light field. We have explored the possibility to use the localized field for nanogap-assisted surface plasmon nanolithography. For this purpose, monodisperse arrays consisting of pairs of rectangular gold nanoblocks separated by nanogaps were fabricated on glass substrates using electron beam lithography and lift-off techniques. Nanoblock structures were used as photomasks for contact exposure of thin films of positive photoresist on glass substrates. Thus, ordered clusters of nanoparticles separated by nanogaps can provide a stable and versatile platform for further development of optical subwavelength nanolithography. To th...

Journal ArticleDOI
TL;DR: Near-ideal vertical sidewalls were obtained over a very narrow range of N(2), allowing the production of extremely high aspect ratios (>32) for 80 nm wide nanowaveguides.
Abstract: Semiconductor nanowaveguides are the key structure for light-guiding nanophotonics applications. Efficient guiding and confinement of single-mode light in these waveguides require high aspect ratio geometries. In these conditions, sidewall verticality becomes crucial. We fabricated such structures using a top-down process combining electron beam lithography and inductively coupled plasma (ICP) etching of hard masks and GaAs/AlGaAs semiconductors with Al concentrations varying from 0 to 100%. The GaAs/AlGaAs plasma etching was a single-step process using a Cl2/BCl3/Ar gas mixture with various fractions of N2. Scanning electron microscope (SEM) observations showed that the presence of nitrogen generated the deposition of a passivation layer, which had a significant effect on sidewall slope. Near-ideal vertical sidewalls were obtained over a very narrow range of N2, allowing the production of extremely high aspect ratios (>32) for 80 nm wide nanowaveguides.

Journal ArticleDOI
TL;DR: In this article, the defects were produced in parallel by ion implantation through 80 nm diameter apertures patterned using electron beam lithography in a PMMA layer deposited on a diamond surface.
Abstract: We report a versatile method to engineer arrays of nitrogen-vacancy (NV) color centers in diamond at the nanoscale. The defects were produced in parallel by ion implantation through 80 nm diameter apertures patterned using electron beam lithography in a PMMA layer deposited on a diamond surface. The implantation was performed with CN − molecules which increased the NV defect formation yield. This method could enable the realization of a solid-state coupled-spin array and could be used for positioning an optically active NV center on a photonic microstructure.

Journal ArticleDOI
TL;DR: The line width and line edge roughness (LER) of resist patterns are related to the concentration and its gradient of chemical compounds that determine the solubility of the resist, respectively as discussed by the authors.
Abstract: The line width and line edge roughness (LER) of resist patterns are related to the concentration and its gradient of chemical compounds that determine the solubility of the resist, respectively. Therefore, latent images can be obtained from the line width and LER of resist patterns. In this study, two-dimensional (exposure dose and half-pitch) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists used for extreme ultraviolet (EUV) lithography. In the reconstruction of latent images, the effective reaction radius for catalytic chain reaction is an important parameter. The probable range of effective reaction radius was from 0.05 to 0.2 nm. In this range, latent images were successfully reconstructed. The finding that the effective reaction radius is smaller than the typical size of a counteranion suggests that the resist performance can be improved by increasing the effective reaction radius.

Journal ArticleDOI
TL;DR: By engineering multifrequency colorimetric responses in deterministic aperiodic arrays of nanoparticles, significantly enhanced sensitivity to the presence of a single protein monolayer is demonstrated.
Abstract: Light scattering phenomena in periodic systems have been investigated for decades in optics and photonics. Their classical description relies on Bragg scattering, which gives rise to constructive interference at specific wavelengths along well defined propagation directions, depending on illumination conditions, structural periodicity, and the refractive index of the surrounding medium. In this paper, by engineering multifrequency colorimetric responses in deterministic aperiodic arrays of nanoparticles, we demonstrate significantly enhanced sensitivity to the presence of a single protein monolayer. These structures, which can be readily fabricated by conventional Electron Beam Lithography, sustain highly complex structural resonances that enable a unique optical sensing approach beyond the traditional Bragg scattering with periodic structures. By combining conventional dark-field scattering micro-spectroscopy and simple image correlation analysis, we experimentally demonstrate that deterministic aperiodic surfaces with engineered structural color are capable of detecting, in the visible spectral range, protein layers with thickness of a few tens of Angstroms.

Journal ArticleDOI
TL;DR: In this article, the authors compare the VLS-based nano-graph growth with two different top-down methods: the first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50nm.

Journal ArticleDOI
TL;DR: In this paper, a low cost, fast, and effective way to produce nanostructures such as pyramidal shaped nanopores and nanochannels with dimensions down to about 15 nm in diamond membranes without any need for electron-beam lithography is demonstrated.
Abstract: Nanopores in insulating solid state membranes have recently attracted much interest in the field of probing, characterizing, and manipulating single linear polymers such as DNA/RNA and proteins in their native environment. Here a low cost, fast, and effective way to produce nanostructures such as pyramidal shaped nanopores and nanochannels with dimensions down to about 15 nm in diamond membranes without any need for electron-beam lithography is demonstrated. By use of a catalytic process, anisotropic etching of diamond with self-organized Ni nanoparticles in hydrogen atmosphere at 900 °C is achieved and possible etching mechanisms are discussed. It is shown that diamond planes with the crystallographic orientation of [111] are etched slowest with this method.

Journal ArticleDOI
TL;DR: In this paper, an optimized PMMA substrate structure for improved coupling of laser light generated by organic semiconductor distributed feedback lasers into single-mode deep ultraviolet induced waveguides is presented.

Proceedings ArticleDOI
TL;DR: In this article, the authors developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresist, which can provide several advantages to conventional chemically amplified resist(CAR) systems, including improved depth of focus (DOF) and reduced line edge roughness.
Abstract: The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography. The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist (CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative tones for 193 nm and e-beam lithography.

Journal ArticleDOI
TL;DR: These methods were used to produce Fresnel zone plates with extreme aspect ratio for scanning transmission x-ray microscopy that showed excellent performance at 1.0 keV photon energy.
Abstract: We investigated the fabrication of dense, high aspect ratio hydrogen silsesquioxane (HSQ) nanostructures by 100 keV electron beam lithography. The samples were developed using a high contrast developer and supercritically dried in carbon dioxide. Dense gratings with line widths down to 25 nm were patterned in 500 nm-thick resist layers and semi-dense gratings with line widths down to 10 nm (40 nm pitch) were patterned in 250 nm-thick resist layers. The dense HSQ nanostructures were used as molds for gold electrodeposition, and the semi-dense HSQ gratings were iridium-coated by atomic layer deposition. We used these methods to produce Fresnel zone plates with extreme aspect ratio for scanning transmission x-ray microscopy that showed excellent performance at 1.0 keV photon energy.

Journal ArticleDOI
TL;DR: A method of combined thin-film deposition, electron beam lithography, and ion milling is presented for the fabrication of gold and silver nanostructures to create size- and shape-tunable structures that present a toolbox for nano-optical investigations.
Abstract: A method of combined thin-film deposition, electron beam lithography, and ion milling is presented for the fabrication of gold and silver nanostructures. The flexibility of lithographical processes for the variation of geometric parameters is combined with three-dimensional control over the surface evolution. Depending on the etching angle, different shapes ranging from cones over rods to cups can be achieved. These size- and shape-tunable structures present a toolbox for nano-optical investigations. As an example, optical properties of systematically varying structures are examined in a parabolic mirror confocal microscope.

Journal ArticleDOI
TL;DR: In this paper, the authors adopted transmission-electron and atomic-force microscopies to improve the metrological accuracy and to analyze the resolution limit of electron-beam lithography.
Abstract: Exploring the resolution limit of electron-beam lithography is of great interest both scientifically and technologically. However, when electron-beam lithography approaches its resolution limit, imaging and metrology of the fabricated structures by using standard scanning electron microscopy become difficult. In this work, the authors adopted transmission-electron and atomic-force microscopies to improve the metrological accuracy and to analyze the resolution limit of electron-beam lithography. With these metrological methods, the authors found that sub-5 nm sparse features could be readily fabricated by electron-beam lithography, but dense 16 nm pitch structures were difficult to yield. Measurements of point- and line-spread functions suggested that the resolution in fabricating sub-10 nm half-pitch structures was primarily limited by the resist-development processes, meaning that the development rates depended on pattern density and/or length scale.

Journal ArticleDOI
TL;DR: Using high-resolution electron-beam lithography and lift-off, Au structures with sub-10 nm dimensions or spacings were fabricated on 30nm-thick silicon-nitride (SiN) membranes.
Abstract: Using high-resolution electron-beam lithography and lift-off, Au structures with sub-10 nm dimensions or spacings were fabricated on 30-nm-thick silicon-nitride (SiN) membranes. Fabricating metal nanostructures on electron-transparent SiN membranes permitted their surface plasmon resonances to be measured using electron energy-loss spectroscopy in a scanning transmission electron microscope (STEM). The surface plasmons that were excited by the 300 keV electron beam exhibited spatially and spectrally distinct longitudinal and transverse modes in elongated Au nanostructures. As the plasmonic response of the nanoparticle was dependent on the point of excitation of the STEM beam, a surface plasmon map could be obtained with nanometer resolution for nanostructures with various geometries. This combination of high-resolution lithography and microscopy will facilitate the design and systematic study of plasmonic nanostructures.