scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2007"


Journal ArticleDOI
TL;DR: It is found that nanowires of width >150 nm are virtually insensitive to the buffer pH, and computer simulations confirm this behavior and show that sensing can be extended even down to the single charge level.
Abstract: Silicon nanowires of different widths were fabricated in silicon on insulator (SOI) material using conventional process technology combined with electron-beam lithography. The aim was to analyze th ...

266 citations


Journal ArticleDOI
27 Feb 2007-Science
TL;DR: Monochromatic electron photoemission from large-area self-assembled monolayers of a functionalized diamondoid, Tetramantane-6-thiol, is found; up to 68% of all emitted electrons were emitted within this single energy peak.
Abstract: We found monochromatic electron photoemission from large-area self-assembled monolayers of a functionalized diamondoid, [121]tetramantane-6-thiol. Photoelectron spectra of the diamondoid monolayers exhibited a peak at the low-kinetic energy threshold; up to 68percent of all emitted electrons were emitted within this single energy peak. The intensity of the emission peak is indicative of diamondoids being negative electron affinity materials. With an energy distribution width of less than 0.5 electron volts, this source of monochromatic electrons may find application in technologies such as electron microscopy, electron beam lithography, and field-emission flatpanel displays.

230 citations


Journal ArticleDOI
TL;DR: In this paper, a wet chemical technique for the site-selective growth of ZnO nanorod arrays on polymer substrates is described, which can be carried out at temperatures as low as 70 °C.
Abstract: A novel wet chemical technique for the site-selective growth of ZnO nanorod arrays on polymer substrates is described. Electron beam lithography is employed to define nanorod positions using a polymethylmethacrylate (PMMA) mask on a Kapton polyimide substrate with a Au intermediate layer. An applied potential is utilized to enhance the nucleation process and achieve ZnO nanorods with a base diameter of 200 nm. The technique does not require a ZnO seed layer and can be carried out at temperatures as low as 70 °C. Structural characterization of the as-grown ZnO nanorods has been investigated by scanning electron microscopy and X-ray diffraction. This technique represents a new low-cost method for integrating ZnO nanorods into flexible electronic devices.

110 citations


Journal ArticleDOI
TL;DR: In this article, the authors reported the achievement of isolated 6nm wide lines in 20nm thick hydrogen silsesquioxane (HSQ) layers on silicon substrates and achieved 10nm lines and spaces in a 10nm HSQ layer.

106 citations


Journal ArticleDOI
TL;DR: In this article, the authors show the results of sub-25 nm pitch (1Tdots∕in) patterning from both a simulation and experimental perspective, and show that the energy contrast between the exposed and unexposed areas goes down quickly as the pitch size gets smaller and smaller, making it more difficult for image formation of high-resolution dot patterning.
Abstract: Electron beam lithography presents a great opportunity for bit-patterned media (BPM) applications due to its resolution capability and placement accuracy. However, there are still many challenges associated with this application including tool availability, resist capability, process development, and associated metrology needs. This paper will briefly discuss these challenges and show the results of sub-25 nm pitch (1 Tdots∕in.2) patterning from both a simulation and experimental perspective. The simulation results indicate that the energy contrast between the exposed and unexposed areas goes down quickly as the pitch size gets smaller and smaller, making it more difficult for image formation of high-resolution dot patterning. The strategy to overcome this issue is to optimize the development process, which aims at increasing the resist contrast and enlarging the process window. By using this approach, the authors have successfully demonstrated a pitch resolution down to 18 nm for a positive-tone resist Z...

98 citations


Journal ArticleDOI
TL;DR: In this paper, the experimental realization of a 108 GHz planar Gunn diode structure fabricated in GaAs/AlGaAs was presented, where the material used was grown by molecular beam epitaxy, and devices were made using electron beam lithography.
Abstract: We show the experimental realization of a 108-GHz planar Gunn diode structure fabricated in GaAs/AlGaAs. There is a considerable interest in such devices since they lend themselves to integration into millimeter-wave and terahertz integrated circuits. The material used was grown by molecular beam epitaxy, and devices were made using electron beam lithography. Since the frequency of oscillation is defined by the lithographically controlled anode-cathode distance, the technology shows great promise in fabricating single chip terahertz sources.

97 citations


Journal ArticleDOI
TL;DR: In this article, the authors present detailed discussion of achieving high aspect ratio and high resolution x-ray zone plate through electron beam lithography, trilevel resist process and gold plating, fabrication problems, and limitations.
Abstract: Building high-performance zone plate is a critical step for achieving nanometer resolution in advanced x-ray imaging and microscopy. Zone plates with smaller outmost zone width and higher aspect ratio are increasingly in demand, simply because the resolution and efficiency of an x-ray microscope are ultimately determined by these two features. In this paper, we will present detailed discussion of achieving high aspect ratio and high resolution x-ray zone plate through electron beam lithography, trilevel resist process and gold plating, fabrication problems, and limitations. We will also present the technique to double the aspect ratio of the zone plate and measure the results of x-ray diffraction efficiency of single and aspect ratio doubled zone plates.

96 citations


Journal ArticleDOI
TL;DR: Measurements showing overall quantum efficiencies of 6% are presented, thus demonstrating that the previously very low overall Quantum efficiencies reported for antenna-coupled tunnel junction devices are due to poor electromagnetic coupling and poor choices of antenna metal, not to any inherent limitations of the technology.
Abstract: Near-infrared detectors based on metal-insulator-metal tunnel junctions integrated with planarized silicon nanowire waveguides are presented, which we believe to be the first of their kind. The junction is coupled to the waveguide via a thin-film metal antenna feeding a plasmonic travelling wave structure that includes the tunnel junction. These devices are inherently broadband; the design presented here operates throughout the 1500–1700 nm region. Careful design of the antenna and travelling wave region substantially eliminates losses due to poor mode matching and RC rolloff, allowing efficient operation. The antennas are made from multilayer stacks of gold and nickel, and the active devices are Ni-NiO-Ni edge junctions. The waveguides are made via shallow trench isolation technology, resulting in a planar oxide surface with the waveguides buried a few nanometres beneath. The antennas are fabricated using directional deposition through a suspended Ge shadow mask, using a single level of electron-beam lithography. The waveguides are patterned with conventional 248-nm optical lithography and reactive-ion etching, then planarized using shallow-trench isolation technology. We also present measurements showing overall quantum efficiencies of 6% (responsivity 0.08 A/W at 1.605 µm), thus demonstrating that the previously very low overall quantum efficiencies reported for antenna-coupled tunnel junction devices are due to poor electromagnetic coupling and poor choices of antenna metal, not to any inherent limitations of the technology.

84 citations


Journal ArticleDOI
TL;DR: This work employs a single laser beam at 532- nm wavelength impinging onto a suitably shaped dielectric object (roof-top prism or pyramid) - bringing the complexity of fabricating magnetic metamaterials down to that of evaporating usual dielectrics/metallic coatings.
Abstract: Magnetic metamaterials with magnetic-dipole resonances around 1.2-μm wavelength are fabricated using an extremely compact and robust version of two- or three-beam interference lithography for 1D and 2D structures, respectively. Our approach employs a single laser beam at 532-nm wavelength impinging onto a suitably shaped dielectric object (roof-top prism or pyramid) – bringing the complexity of fabricating magnetic metamaterials down to that of evaporating usual dielectric/metallic coatings. The measured optical spectra agree well with theory; the retrieval reveals a negative magnetic permeability. Importantly, the large-scale sample homogeneity is explicitly demonstrated by optical experiments.

77 citations


Journal ArticleDOI
TL;DR: In this article, a negative-tone calixarene resist was used to print dense line/space patterns with half-pitches as small as 12.5nm in a negativetone resist using extreme ultraviolet (EUV) interference lithography, which is illuminated with spatially coherent radiation from a synchrotron source.
Abstract: We have printed dense line/space patterns with half-pitches as small as 12.5nm in a negative-tone calixarene resist using extreme ultraviolet (EUV) interference lithography. The EUV interference setup which is based on transmission diffraction gratings is illuminated with spatially coherent radiation from a synchrotron source. The results show the extendibility of EUV lithography to printing features measuring less than 15nm in size. We discuss the potential impact of effects such as photoelectron blur and shot noise in high-resolution EUV lithography.

77 citations


Journal ArticleDOI
TL;DR: In this article, the surface roughness of the AlN/diamond structure was measured by atomic force microscopy and showed a very low surface roughing, less than 1 nm.
Abstract: We report in this paper on the study and the realization of surface acoustic wave devices based on an AlN/diamond layered structure intended for the X band (8 GHz). Both x-ray diffraction and transmission electronic microscopy, used for characterization of the structural properties of the AlN/diamond structure, have shown (002) highly oriented sputtered AlN films on free-standing chemical vapor deposition diamond films. Surface roughness of the AlN/diamond structure was measured by atomic force microscopy and showed a very low surface roughness, less than 1 nm. Low surface roughness is very important to reduce the acoustic propagation losses. SAW devices operating in the range of 8 GHz were realized by the combination of the high velocity of the AlN/diamond layered structure and the high lateral resolution obtained using e-beam lithography (EBL). Due to high electrical resistivity of the AlN film, interdigital transducers with submicronic resolution were patterned by an adapted technological EBL process. ...

Journal ArticleDOI
TL;DR: In this article, a method to control the remaining resist thickness and etch depth at the resolution of 20nm for the feature sizes of 0.5mm and 1mm has been proposed.

Book ChapterDOI
01 Jan 2007

Journal ArticleDOI
TL;DR: In this article, a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures is presented, and lower bounds on the current carrying capacity of graphene are established.
Abstract: The standard technique to make electrical contact to nanostructures is electron beam lithography. This method has several drawbacks including complexity, cost, and sample contamination. We present a simple technique to cleanly solder submicron sized, Ohmic contacts to nanostructures. To demonstrate, we contact graphene, a single atomic layer of carbon, and investigate low- and high-bias electronic transport. We set lower bounds on the current carrying capacity of graphene. A simple model allows us to obtain device characteristics such as mobility, minimum conductance, and contact resistance.

Journal ArticleDOI
TL;DR: In this paper, the influence of temperature on hydrogen silsesquioxane (HSQ) e-beam lithography during drying, developing, and post-development baking is investigated.
Abstract: The authors present a study of the influence of temperature on hydrogen silsesquioxane (HSQ) e-beam lithography during drying, developing, and postdevelopment baking In accordance with the observation that tempering at relatively low temperatures can already lead to noticeable cross-linking, comparable to the effect of e-beam exposure, the authors find that decreasing the prebake temperature below 90°C and drying the HSQ resist at room temperature in vacuum yields better resolution compared with resist that was dried in a furnace or on a hotplate at 90°C or above Developing the exposed resist not at room temperature (23°C) but at 60°C results in significant contrast enhancement Further solidification of the developed resist is obtained by baking the material above 300°C Correlations between these findings and IR data are presented

Journal ArticleDOI
TL;DR: An evaluation of hydrogen silsesquioxane (HSQ) for EUV lithography is presented in this paper, where the effects of bake temperature and developer concentration on the ultimate resolution, sensitivity and contrast are investigated.

Journal ArticleDOI
TL;DR: In this paper, an efficient nanochemosensors designed by electron beam lithography and based on localized surface plasmon resonance excited on noble metal nanocylinders are presented.

Journal ArticleDOI
TL;DR: In this article, ordered arrays of gold nanorods on glass substrates were fabricated with high accuracy using electron beam lithography and lift-off techniques, and these structures exhibit longitudinal mode o...
Abstract: Uniform, ordered arrays of gold nanorods on glass substrates were fabricated with high accuracy using electron beam lithography and lift-off techniques. These structures exhibit longitudinal mode o...

Journal ArticleDOI
TL;DR: In this paper, a reverse-contact UV nanoimprinting method was proposed for 3D woodpile-like structures with resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for threedimensional patterning.
Abstract: In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique.

Journal ArticleDOI
TL;DR: In this paper, the shape evolution during diffusion controlled isotropic metal dissolution under circular mask openings of different sizes is in good agreement with numerical simulations, the cavities approaching hemispherical shape before their diameter reaches three times the initial mask opening diameter.
Abstract: Aiming at topographical surface micromachining of metallic and in particular Ti surfaces, we have investigated the possibility of using robust SU-8 and more recent electrophoretic photoresist technology in combination with flexible, non-contact maskless UV and electron beam lithography for mask generation in a through-mask electrochemical micromachining process. The shape evolution during diffusion controlled isotropic metal dissolution under circular mask openings of different sizes is in good agreement with numerical simulations, the cavities approaching hemispherical shape before their diameter reaches three times the initial mask opening diameter. Taking advantage of an important size effect in current density in combination with the developed methods, it was for the first time possible to create topographical gradient structures in a single micromachining step, difficult or impossible to achieve with competing techniques. Via through-mask anodization a locally confined nano-topography could be created within Ti surfaces. We further present an original method capable of electrochemical micromachining of highly curved conductive surfaces through electrophoretically deposited photoresist patterned by maskless 3D-UV lithography, going beyond the limitations of existing approaches.

Journal ArticleDOI
TL;DR: In this article, three terminal single-electron transistor devices utilizing Al/Al2O3 gate electrodes were developed for the study of electron transport through individual single-molecule magnets.
Abstract: Three terminal single-electron transistor devices utilizing Al/Al2O3 gate electrodes were developed for the study of electron transport through individual single-molecule magnets The devices were patterned via multiple layers of optical and electron beam lithography Electromigration induced breaking of the nanowires reliably produces 1-3 nm gaps between which the SMM can be situated Conductance through a single Mn12(3-thiophenecarboxylate) displays the coulomb blockade effect with several excitations within +/- 40 meV

Journal ArticleDOI
TL;DR: In this article, the authors presented photodetectors having vertically stacked electrodes with sub-micron (∼300 nm) separation based on silicon nanowire (SiNW) nanocomposites.
Abstract: This paper presents photodetectors having vertically stacked electrodes with sub-micron (∼300 nm) separation based on silicon nanowire (SiNW) nanocomposites. The thin-film-like devices are made using standard photolithography instead of electron beam lithography and thus are amenable to scalable low-cost manufacturing. The processing technique is not limited to SiNWs and can be extended to different nanowires (NWs) (e.g., ZnO, CdSe) and substrates. The current–voltage characteristics show Schottky behaviour that is dependent on the properties of the contact metal and that of the pristine SiNWs. This makes these devices suitable for examination of electronic transport in SiNWs. Preliminary results for light sensitivity show promising photoresponse that is a function of effective NW density.

Journal ArticleDOI
TL;DR: In this paper, a photonic crystal (PhC) strain-sensitive structure is proposed to realize a force/pressure optical sensor by designing a bulk GaAs/AlGaAs microcavity operating in the wavelength range 1300-1400nm, where the resonant wavelength shifts its spectral position following a linear behavior when a pressure ranging between 0.25Gpa and 5GPa is applied.

Journal ArticleDOI
TL;DR: In this article, three-terminal single-electron transistor devices utilizing Al∕Al2O3 gate electrodes were developed for the study of electron transport through individual single-molecule magnets (SMMs).
Abstract: Three-terminal single-electron transistor devices utilizing Al∕Al2O3 gate electrodes were developed for the study of electron transport through individual single-molecule magnets (SMMs). The devices were patterned via multiple layers of optical and electron beam lithography. Electromigration induced breaking of the nanowires reliably produces 1–3nm gaps between which the SMM can be situated. Conductance through a single Mn12 (3-thiophenecarboxylate) displays the Coulomb blockade effect with several excitations within ±40meV.

Journal ArticleDOI
TL;DR: In this paper, the effect of ICP-RIE etch parameters, such as substrate bias power, chamber pressure, and substrate cooling, on the nanotube film etch rate and selectivity was investigated.
Abstract: The authors report successful patterning of transparent, conductive single-walled carbon nanotube films down to 100nm lateral dimensions by photolithography or e-beam lithography and subsequent O2 plasma etching using an inductively coupled plasma reactive ion etching (ICP-RIE) system. They systematically study the effect of ICP-RIE etch parameters, such as substrate bias power, chamber pressure, and substrate cooling, on the nanotube film etch rate and etch selectivity. They also characterize the effect of the linewidth etched on the nanotube film etch rate for widths ranging from 50μm down to 100nm. Furthermore, by fabricating standard four point probe structures using the patterning capability developed, the authors investigate the effect of different resist processes on the resistivity of patterned single-walled carbon nanotube films and the effect of ICP reactive ion etching on the resistivity of partially etched nanotube films. In addition, they demonstrate that using an ICP-RIE system provides sign...

Journal ArticleDOI
Tobias Blom1, Ken Welch1, Maria Strømme1, Ernesto Coronel1, Klaus Leifer1 
TL;DR: Nanoelectrodes were fabricated combining photolithography, electron beam lithography and focused ion beam milling allowing for large scale integration and nanoengineering of the electrode proper tie as discussed by the authors.
Abstract: Nanoelectrodes were fabricated combining photolithography, electron beam lithography and focused ion beam milling allowing for large scale integration and nanoengineering of the electrode propertie ...

Journal ArticleDOI
TL;DR: In this demonstration, ordinary and topology-optimized photonic crystal waveguide structures are realized and silicon-on-insulator based nanophotonic devices fabricated by nanoimprint lithography is demonstrated.
Abstract: We demonstrate and optically characterize silicon-on-insulator based nanophotonic devices fabricated by nanoimprint lithography. In our demonstration, we have realized ordinary and topology-optimized photonic crystal waveguide structures. The topology-optimized structures require lateral pattern definition on a sub 30-nm scale in combination with a deep vertical silicon etch of the order of ~300 nm. The nanoimprint method offers a cost-efficient parallel fabrication process with state-of-the-art replication fidelity, comparable to direct electron beam writing.

Journal ArticleDOI
TL;DR: In this article, the authors proposed a simulation method for the calculation of latent images for postoptical lithographies on the basis of the sensitization mechanisms of chemically amplified resists, which is the most challenging issue associated with the development of resist processes for the next generation lithography.
Abstract: The reduction of line edge roughness (LER) or linewidth roughness is the most challenging issue associated with the development of resist processes for the next generation lithography. The extent of LER has been reported to correlate with the image contrast slope. Although the postoptical lithography such as electron beam and extreme ultraviolet lithographies can create high contrast images, low-energy electrons degrade image contrasts in these lithographies. The precise prediction of image contrasts requires the incorporation of the effects of low-energy electrons into process simulators. For the reduction of LER, better understanding of LER formation is needed. In this work, the authors proposed a simulation method for the calculation of latent images for postoptical lithographies on the basis of the sensitization mechanisms of chemically amplified resists.

Journal ArticleDOI
TL;DR: In this article, the plasmon resonance was measured for two-dimensional arrays of L-shaped Ag nanoparticles fabricated by electron beam lithography, with nominal total edge edge length.
Abstract: The plasmon resonance was measured for two-dimensional arrays of L-shaped Ag nanoparticles fabricated by electron beam lithography. A variety of particle sizes were studied with nominal total edge ...

Journal ArticleDOI
TL;DR: In this article, the authors define the graphene nanostructures by e-beam lithography followed by oxigen plasma etch, and use this technique to pattern graphene nanoribbons and study the formation of a band gap due to transverse electron confinement.
Abstract: We review recent experiments at Columbia on electronic transport in graphene nanostructures. We define the graphene nanostructures by e-beam lithography followed by oxigen plasma etch. In this way we can pattern nanoribbons, rings, as well as other nanostructures. We use this technique to pattern graphene nanoribbons and study the formation of a band gap due to transverse electron confinement. (c) 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.