scispace - formally typeset
Search or ask a question

Showing papers on "Isotropic etching published in 2013"


Journal ArticleDOI
TL;DR: It is demonstrated that nanosize silicon (~10 nm diameter) reacts with water to generate hydrogen 1000 times faster than bulk silicon, 100 times fasterthan previously reported Si structures, and 6 times faster Than competing metal formulations.
Abstract: We demonstrate that nanosize silicon (∼10 nm diameter) reacts with water to generate hydrogen 1000 times faster than bulk silicon, 100 times faster than previously reported Si structures, and 6 times faster than competing metal formulations. The H2 production rate using 10 nm Si is 150 times that obtained using 100 nm particles, dramatically exceeding the expected effect of increased surface to volume ratio. We attribute this to a change in the etching dynamics at the nanoscale from anisotropic etching of larger silicon to effectively isotropic etching of 10 nm silicon. These results imply that nanosilicon could provide a practical approach for on-demand hydrogen production without addition of heat, light, or electrical energy.

151 citations


Journal ArticleDOI
TL;DR: In this paper, NH4F-HF solutions were used for secondary porosity engineering of aluminosilicate zeolite frameworks, and the selectivity of such solutions is concentration-independent and extracts indiscriminately both framework Si and Al.
Abstract: Chemical etching with fluoride ions is a new approach for secondary porosity engineering of aluminosilicate zeolite frameworks. We show that diluted HF solutions extract preferentially aluminum from zeolite frameworks. The Bronsted acidity of ZSM-5 treated in such a way decreases, while its structure is unaffected after an HF treatment. With higher HF concentrations, the number of undissociated HF molecules and the concentration of HF2– ions, extracting indiscriminately Al and Si, increase. The addition of NH4F shifts the chemical equilibria to produce more HF2–, avoiding the use of highly concentrated HF solutions; it also suppresses HF dissociation. The etching selectivity of such solutions is concentration-independent and extracts indiscriminately both framework Si and Al. Zeolite dissolution in NH4F-HF solutions starts preferentially at small intergrowth domains and goes deeply in the crystals without a substantial increase of the external surface area. Macropores are produced without altering zeolite...

134 citations


Journal ArticleDOI
18 Nov 2013-ACS Nano
TL;DR: The high monodispersity of the particles the authors demonstrate should facilitate the self-assembly of nanoparticle clusters with uniform optical resonances, which could in turn be used to fabricate optical metafluids.
Abstract: Ultrasmooth, highly spherical monocrystalline gold particles were prepared by a cyclic process of slow growth followed by slow chemical etching, which selectively removes edges and vertices. The etching process effectively makes the surface tension isotropic, so that spheres are favored under quasi-static conditions. It is scalable up to particle sizes of 200 nm or more. The resulting spherical crystals display uniform scattering spectra and consistent optical coupling at small separations, even showing Fano-like resonances in small clusters. The high monodispersity of the particles we demonstrate should facilitate the self-assembly of nanoparticle clusters with uniform optical resonances, which could in turn be used to fabricate optical metafluids. Narrow size distributions are required to control not only the spectral features but also the morphology and yield of clusters in certain assembly schemes.

126 citations


Patent
Yuta Seya1
07 Nov 2013
TL;DR: In this paper, a SiON mask was used as a mask to remove the SiON film from the amorphous carbon film, which was then replaced by a modified amorphou carbon film.
Abstract: A plasma etching method includes etching an amorphous carbon film by a plasma of an oxygen-containing gas using, as a mask, an SiON film having a predetermined pattern formed on a target object, etching a silicon oxide film by a plasma of a processing gas using the amorphous carbon film as a mask while removing the SiON film remaining on the etched amorphous carbon film by the plasma of the processing gas. The plasma etching method further includes modifying the amorphous carbon film by a plasma of a sulfur-containing gas or a hydrogen-containing gas while applying a negative DC voltage to an upper electrode containing silicon after the SiON film is removed from the amorphous carbon film, and etching the silicon oxide film again by the plasma of the processing gas using the modified amorphous carbon film as a mask.

125 citations


Journal ArticleDOI
TL;DR: In this article, the void structure in Si-encapsulating hollow carbons is optimized in order to minimize the volume expansion of Si-based anodes and improve electrochemical performance, which is more advanced due to the improved electrical contact between carbon and Si.
Abstract: Remarkable improvements in the electrochemical performance of Si materials for Li-ion batteries have been recently achieved, but the inherent volume change of Si still induces electrode expansion and external cell deformation. Here, the void structure in Si-encapsulating hollow carbons is optimized in order to minimize the volume expansion of Si-based anodes and improve electrochemical performance. When compared to chemical etching, the hollow structure is achieved via electroless etching is more advanced due to the improved electrical contact between carbon and Si. Despite the very thick electrodes (30 ∼ 40 μm), this results in better cycle and rate performances including little capacity fading over 50 cycles and 1100 mA h g−1 at 2C rate. Also, an in situ dilatometer technique is used to perform a comprehensive study of electrode thickness change, and Si-encapsulating hollow carbon mitigates the volume change of electrodes by adoption of void space, resulting in a small volume increase of 18% after full lithiation corresponding with a reversible capacity of about 2000 mA h g−1.

121 citations


Journal ArticleDOI
TL;DR: A new oxidizing route to ensure efficient removal of ZnSe is presented based on treatment with a mixture of an oxidizing agent and a mineral acid followed by treatment in an aqueous Na2S solution, with a large improvement on the conversion efficiency of the devices and an improvement of all the optoelectronic parameters of the cells.
Abstract: Cu2ZnSnSe4 kesterite compounds are some of the most promising materials for low-cost thin-film photovoltaics. However, the synthesis of absorbers for high-performing devices is still a complex issue. So far, the best devices rely on absorbers grown in a Zn-rich and Cu-poor environment. These off-stoichiometric conditions favor the presence of a ZnSe secondary phase, which has been proved to be highly detrimental for device performance. Therefore, an effective method for the selective removal of this phase is important. Previous attempts to remove this phase by using acidic etching or highly toxic organic compounds have been reported but so far with moderate impact on device performance. Herein, a new oxidizing route to ensure efficient removal of ZnSe is presented based on treatment with a mixture of an oxidizing agent and a mineral acid followed by treatment in an aqueous Na2S solution. Three different oxidizing agents were tested: H2O2, KMnO4, and K2Cr2O7, combined with different concentrations of H2SO4. With all of these agents Se2− from the ZnSe surface phase is selectively oxidized to Se0, forming an elemental Se phase, which is removed with the subsequent etching in Na2S. Using KMnO4 in a H2SO4-based medium, a large improvement on the conversion efficiency of the devices is observed, related to an improvement of all the optoelectronic parameters of the cells. Improvement of short-circuit current density (Jsc) and series resistance is directly related to the selective etching of the ZnSe surface phase, which has a demonstrated current-blocking effect. In addition, a significant improvement of open-circuit voltage (Voc), shunt resistance (Rsh), and fill factor (FF) are attributed to a passivation effect of the kesterite absorber surface resulting from the chemical processes, an effect that likely leads to a reduction of nonradiative-recombination states density and a subsequent improvement of the p–n junction.

121 citations


Patent
17 Sep 2013
TL;DR: In this article, a method for etching an etching stop layer using a cyclical etching process is described. But the method is not suitable for the case of silicon nitride, and the method requires the use of at least ammonium gas and nitrogen trifluoride.
Abstract: Methods for etching an etching stop layer disposed on the substrate using a cyclical etching process are provided. In one embodiment, a method for etching an etching stop layer includes performing a treatment process on the substrate having a silicon nitride layer disposed thereon by supplying a treatment gas mixture into the processing chamber to treat the silicon nitride layer, and performing a chemical etching process on the substrate by supplying a chemical etching gas mixture into the processing chamber, wherein the chemical etching gas mixture includes at least an ammonium gas and a nitrogen trifluoride, wherein the chemical etching process etches the treated silicon nitride layer.

118 citations


Patent
13 May 2013
TL;DR: In this paper, a hard mask film made of a chromium-containing material including tin can cause a significant increase in the etching rate at the time of chlorine-containing dry etching.
Abstract: A light-shielding film 2 is formed on a transparent substrate 1. A hard mask film 3 is formed on this light-shielding film 2. The entire hard mask film 3 is made of a chromium-containing material including tin. The film made a chromium-containing material including tin can cause a significant increase in the etching rate at the time of chlorine-containing dry etching. Furthermore, comparing with a film made of a chromium-containing material in which part of chromium is replaced with a light element, the above film has an equal or higher level of etching resistance to fluorine-dry etching. Thus, burden on a photoresist at the time of etching the chromium-containing material film can be reduced. Therefore, high-precision pattern transfer can be performed even in the case that the resist film is thinned.

111 citations


Journal ArticleDOI
TL;DR: In this article, a new hydrophobic surface is fabricated on magnesium alloy to improve anti-corrosion by two-step methodology, inspired by typical plant surfaces such as lotus leaves and petals of red rose with super-hydrophobic character.

97 citations


Journal ArticleDOI
TL;DR: In this article, a simple, effective, and universal model for the formation of silicon nanowires during silver metal assisted chemical etching of silicon is presented, which explains nanowire formation in terms of well-known and wellunderstood principles of electrochemical exchange current densities at silver metal/solution interfaces, silicon/silver ion reaction kinetics, and diffusion limited aggregatoon (DLA) kinetics.

95 citations


Journal ArticleDOI
TL;DR: It is found that opening of the barrier oxide layer by wet-chemical etching can be significantly influenced by anodization time (tanodi), and a concentration gradient of anionic impurity in the outer pore wall oxide may be established along both the vertical and radial directions of cylindrical pores.
Abstract: Wet-chemical etching of the barrier oxide layer of anodic aluminum oxide (AAO) was systematically investigated by using scanning electron microscopy (SEM), secondary ion mass spectrometry (SIMS), and a newly devised experimental setup that allows accurate in situ determination of the pore opening point during chemical etching of the barrier oxide layer. We found that opening of the barrier oxide layer by wet-chemical etching can be significantly influenced by anodization time (tanodi). According to secondary ion mass spectrometry (SIMS) analysis, porous anodic aluminum oxide (AAO) samples formed by long-term anodization contained a lower level of anionic impurity in the barrier oxide layer compared to the short-term anodized one and consequently exhibited retarded opening of the barrier oxide layer during the wet-chemical etching. The observed compositional dependence on the anodization time (tanodi) in the barrier oxide layer is attributed to the progressive decrease of the electrolyte concentration upon...

Journal ArticleDOI
TL;DR: In this article, a simple process for synthesizing three-dimensional porous silicon monoxide anode materials from bulk SiO powders by combining a galvanic displacement reaction and metal catalytic etching process was described.

Journal ArticleDOI
TL;DR: It is shown that chemical etching of colloidal nanoparticles can facilitate the realization of nanocrystal shapes that are topologically inaccessible by hot-injection techniques alone, leading to advanced nanoparticles architectures for applications in areas of photocatalysis, photovoltaics, and light detection.
Abstract: Colloidal chemistry offers an assortment of synthetic tools for tuning the shape of semiconductor nanocrystals. While many nanocrystal architectures can be obtained directly via colloidal growth, other nanoparticle morphologies require alternative processing strategies. Here, we show that chemical etching of colloidal nanoparticles can facilitate the realization of nanocrystal shapes that are topologically inaccessible by hot-injection techniques alone. The present methodology is demonstrated by synthesizing a two-component CdSe/CdS nanoparticle dimer, constructed in a way that both CdSe and CdS semiconductor domains are exposed to the external environment. This structural morphology is highly desirable for catalytic applications as it enables both reductive and oxidative reactions to occur simultaneously on dissimilar nanoparticle surfaces. Hydrogen production tests confirmed the improved catalytic activity of CdSe/CdS dimers, which was enhanced 3–4 times upon etching treatment. We expect that the demons...

Journal ArticleDOI
04 Jun 2013-Langmuir
TL;DR: A novel method of fabricating superhydrophobic and superoleophobic surfaces with nanoscale reentrant curvature by nanotransfer molding and controlled wet etching of the facile undercut prevents capillary-induced bundling effects.
Abstract: We present a novel method of fabricating superhydrophobic and superoleophobic surfaces with nanoscale reentrant curvature by nanotransfer molding and controlled wet etching of the facile undercut. This method produces completely ordered re-entrant nanostructures and prevents capillary-induced bundling effects. The mushroom-like, re-entrant, overhanging structure demonstrates superhydrophobic and superoleophobic characteristics, as tested by water droplet bouncing and contact angle measurements, and has high transparency on a flexible substrate. Widespread use as self-cleaning surfaces is expected in the near future.

Journal ArticleDOI
Junfei Ou1, Weihua Hu1, Sheng Liu, Mingshan Xue1, Fajun Wang1, Wen Li1 
TL;DR: The results showed that, as the surface tension decreasing, stricter choosing of surface structures and surface chemistry are required to obtain SOS, particularly for hexadecane, which records the lowest surface tension.
Abstract: We report a convenient route to fabricate superoleophobic surfaces (abridged as SOS) on copper substrate by combining a two-step surface texturing process (first, the substrate is immersed in an aqueous solution of HNO3 and cetyltrimethyl ammonium bromide, and then in an aqueous solution of NaOH and (NH4)2S2O8) and succeeding surface fluorination with 1H,1H,2H,2H-perfluorodecanethiol (PFDT) or 1-decanethiol. The surface morphologies and compositions were characterized by field emission scanning electron microscopy and X-ray diffraction, respectively. The results showed that spherical micro-pits (SMP) with diameter of 50–100 μm were formed in the first step of surface texturing; in the second step, Cu(OH)2 or/and CuO with structures of nanorods/microflowers/microballs were formed thereon. The surface wettability was further assessed by optical contact angle meter by using water (surface tension of 72.1 mN m–1 at 20°C), rapeseed oil (35.7 mN m–1 at 20°C), and hexadecane (25.7 mN m–1 at 20°C) as probe liquid...

Patent
Chen Wen-Yen1, Kuan Nan Liu1
26 Dec 2013
TL;DR: In this article, a method of fabricating a semiconductor device includes forming a first layer over a substrate and forming a second layer over the first layer, and then patterning the second layer into a mask having one or more openings that expose portions of the exposed first layer.
Abstract: A method of fabricating a semiconductor device includes forming a first layer over a substrate and forming a second layer over the first layer. The method further includes patterning the second layer into a mask having one or more openings that expose portions of the first layer. The method further includes etching the first layer through the one or more openings via a first etching process, resulting in a patterned first layer. The first etching process includes forming a coating layer around both the mask and the patterned first layer while the first layer is being etched.

Patent
21 Oct 2013
TL;DR: In this paper, the authors proposed a cyclical etching method for a material layer disposed on the substrate using a combination of a main etching step and cyclical cyclical cycle.
Abstract: Methods for etching a material layer disposed on the substrate using a combination of a main etching step and a cyclical etching process are provided. The method includes performing a main etching process in a processing chamber to an oxide layer, forming a feature with a first predetermined depth in the oxide layer, performing a treatment process on the substrate by supplying a treatment gas mixture into the processing chamber to treat the etched feature in the oxide layer, performing a chemical etching process on the substrate by supplying a chemical etching gas mixture into the processing chamber, wherein the chemical etching gas includes at least an ammonium gas and a nitrogen trifluoride, wherein the chemical etching process further etches the feature to a second predetermined depth, and performing a transition process on the etched substrate by supplying a transition gas mixture into the processing chamber.

Journal ArticleDOI
TL;DR: In this article, micro/nano hierarchical structures were constructed on Zr35Ti30Be2675Cu825 metallic glass surface by silicon molding and subsequently chemical etching The as-formed surface exhibited both superhydrophobicity and high adhesive force towards water.
Abstract: Micro/nano hierarchical structures were constructed on Zr35Ti30Be2675Cu825 metallic glass surface by silicon moulding and subsequently chemical etching The as-formed surface exhibited both superhydrophobicity and high adhesive force towards water The superhydrophobicity is rationalized based on the modified Cassie-Baxter model [A B D Cassie and S Baxter, Trans Faraday Soc 40, 546 (1944)] The origin of the robust adhesion is described in terms of intermolecular capillary forces The present results not only provide a method to fabricate superhydrophobic metallic glasses surface but also explore an important industrial application as dry adhesives and transport of liquid microdroplets

Journal ArticleDOI
TL;DR: The charge transport mechanism during metal-assisted chemical etching of Si nanowires with contiguous metal films has been investigated to give a better insight how the charges and reaction products can penetrate to the etching front.
Abstract: The charge transport mechanism during metal-assisted chemical etching of Si nanowires with contiguous metal films has been investigated. The experiments give a better insight how the charges and reaction products can penetrate to the etching front. The formation of a layer of porous Si between the metal film and the bulk Si is a prerequisite for the etching process. The electronic holes (positive charges) necessary for the etching of porous Si are generated at the surface of the metal in contact with the oxidative agent. Because of the insulating character of the thin walls of the porous Si, the transport of the electronic holes through this layer is not possible. Instead, it is found that the transport of electronic holes proceeds primarily by means of the Ag/Ag+ redox pair circulating in the electrolyte and diffusing through the etched pores in the Si. The charge transport occurs without the ionic contribution at the positions where the metal is in direct contact with the Si. Here, an electropolishing p...

Journal ArticleDOI
TL;DR: This paper presents a non-lithographic approach to generate wafer-scale single crystal silicon nanowires (SiNWs) with controlled sidewall profile and surface morphology and a post-fabrication roughening step is added to the approach.
Abstract: This paper presents a non-lithographic approach to generate wafer-scale single crystal silicon nanowires (SiNWs) with controlled sidewall profile and surface morphology The approach begins with silver (Ag) thin-film thermal dewetting, gold (Au) deposition and lift-off to generate a large-scale Au mesh on Si substrates This is followed by metal-assisted chemical etching (MacEtch), where the Au mesh serves as a catalyst to produce arrays of smooth Si nanowires with tunable taper up to 13° The mean diameter of the thus fabricated SiNWs can be controlled to range from 62 to 300 nm with standard deviations as small as 136 nm, and the areal coverage of the wire arrays can be up to 46% Control of the mean wire diameter is achieved by controlling the pore diameter of the metallic mesh which is, in turn, controlled by adjusting the initial thin-film thickness and deposition rate To control the wire surface morphology, a post-fabrication roughening step is added to the approach This step uses Au nanoparticles and slow-rate MacEtch to produce rms surface roughness up to 36 nm

Journal ArticleDOI
TL;DR: Through metal-assisted chemical etching (MaCE), superior purification of dirty Si is observed and the purification effect induces a ∼35% increase in photocurrent for SiNW based photoelectrochemical cell.
Abstract: Through metal-assisted chemical etching (MaCE), superior purification of dirty Si is observed, from 99.74 to 99.9884% for metallurgical Si and from 99.999772 to 99.999899% for upgraded metallurgical Si. In addition, large area of silicon nanowires (SiNW) are fabricated. The purification effect induces a ∼35% increase in photocurrent for SiNW based photoelectrochemical cell.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate GaAs pillar array-based light emitting diodes (LEDs) with axial p-i-n junctions fabricated using a room-temperature metal-assisted chemical etching (MacEtch) method.
Abstract: We demonstrate GaAs pillar array-based light emitting diodes (LEDs) with axial p-i-n junctions fabricated using a room-temperature metal-assisted chemical etching (MacEtch) method. Variations in vertical etch rates for all three doping types of GaAs are investigated as a function of etching temperature, oxidant/acid concentration ratio, and dilution of the etching solution. Control over nanopillar morphologies is demonstrated, simply through modification of the etching conditions. Optical emission enhancement from the MacEtched p-i-n GaAs nanopillar LED is observed, relative to the non-etched planar counterpart, through room-temperature photoluminescence and electroluminescence characterization.

Journal ArticleDOI
TL;DR: It is shown that the so-called "quill-effect", that is the dependence of the laser damage from the direction of writing, occurs also for ps-pulse laser machining, and an opposite dependence ofThe retardance from the intra-p Pulse distance is observed for fs- and ps-laser direct writing.
Abstract: The ability of 8 picosecond pulse lasers for three dimensional direct-writing in the bulk of transparent dielectrics is assessed through a comparative study with a femtosecond laser delivering 600 fs pulses. The comparison addresses two main applications: the fabrication of birefringent optical elements and two-step machining by laser exposure and post-processing by chemical etching. Formation of self-organized nano-gratings in glass by ps-pulses is demonstrated. Differential etching between ps-laser exposed regions and unexposed silica is observed. Despite attaining values of retardance (>100 nm) and etching rate (2 µm/min) similar to fs pulses, ps pulses are found unsuitable for bulk machining in silica glass primarily due to the build-up of a stress field causing scattering, cracks and non-homogeneous etching. Additionally, we show that the so-called “quill-effect”, that is the dependence of the laser damage from the direction of writing, occurs also for ps-pulse laser machining. Finally, an opposite dependence of the retardance from the intra-pulse distance is observed for fs- and ps-laser direct writing.

Journal ArticleDOI
TL;DR: In this article, the authors report experimental studies of etching with nanoscale Au catalyst strips that are mechanically constrained at both ends, leading to termination of the etching when a mechanical force balance is achieved.
Abstract: Metal assisted chemical etching (MACE) of Si has been used to fabricate both simple and complex Si nanostructures, through the relatively straightforward process of noble metal deposition and patterning followed by immersion in a suitable etching solution. Under appropriate conditions, etching is catalyzed by the metal and occurs only at the metal–silicon interface. MACE therefore requires that a force be present that keeps the metal and silicon in close proximity during etching. The geometrical characteristics of the etched nanostructures therefore depend not only on the solution chemistry, but also on the mechanical properties and constraints of the noble metal catalysts. Here we report experimental studies of etching with nanoscale Au catalyst strips that are mechanically constrained at both ends. The mechanical constraint of these strips leads to termination of etching when a mechanical force balance is achieved. Through experimental characterization of the etching end-state and through modeling, we d...

Journal ArticleDOI
TL;DR: In this article, the authors used hot filament chemical vapor deposition (HFCVD) system with the presence of the strong carbon-forming metallic interlayer (Nb, Cr or Ta), which was prepared using physical vapor deposition on WC-Co substrate after chemical etching through a two-step process (Murakami solution and Caro's acid).

Journal ArticleDOI
TL;DR: A pathway to high selectivity soft mask pattern transfer using cryogenic plasma etching towards low-cost high throughput sub-10 nm nanofabrication using Cryogenic SF(6)/O(2) gas chemistry is presented.
Abstract: Plasma etching is a powerful technique for transferring high-resolution lithographic masks into functional materials. Significant challenges arise with shrinking feature sizes, such as etching with thin masks. Traditionally this has been addressed with hard masks and consequently additional costly steps. Here we present a pathway to high selectivity soft mask pattern transfer using cryogenic plasma etching towards low-cost high throughput sub-10 nm nanofabrication. Cryogenic SF6/O2 gas chemistry is studied for high fidelity, high selectivity inductively coupled plasma etching of silicon. Selectivity was maximized on large features (400 nm–1.5 μm) with a focus on minimizing photoresist etch rates. An overall anisotropic profile with selectivity around 140:1 with a photoresist mask for feature size 1.5 μm was realized with this clean, low damage process. At the deep nanoscale, selectivity is reduced by an order of magnitude. Despite these limits, high selectivity is achieved for anisotropic high aspect ratio 10 nm scale etching with thin polymeric masks. Gentler ion bombardment resulted in planar-dependent etching and produced faceted sub-100 nm features.

Journal ArticleDOI
TL;DR: This method can be used as a simple (one-pot), low cost (low silver concentration), energy efficient (room temperature), method for the synthesis of anti-reflection layers for silicon-based solar cell applications.
Abstract: An effective and economical fabrication process for the synthesis of nanopore-type “black silicon”, that significantly decreases reflectivity of silicon wafer surfaces, is reported using a room temperature one-step Ag-assisted chemical etching method. The effects on the surface morphology and the corresponding surface reflectivity of the concentration of the silver catalyst (500, 50, and 5 μM), the HF and H2O2 concentration in the silicon etchant, the HF : H2O2 ratio, and etching time have been investigated. Lower reflectivity is a balance between sufficient silver catalyst to create large numbers of nanopores on a silicon surface and excessive silver that brings deeply etched channels that would potentially short-circuit a solar cell junction. The lowest relative effective reflectivity (0.17% over a range of 300–1000 nm) occurs with a silver ion concentration of 50 μM, however, with the silver ion concentration decreases to 5 μM surfaces with a low relative effective reflectivity (2.60%) and a short nanopore length (<250 nm) can be obtained with 10 minute etching time, indicating that this method can be used as a simple (one-pot), low cost (low silver concentration), energy efficient (room temperature), method for the synthesis of anti-reflection layers for silicon-based solar cell applications.

Journal ArticleDOI
Li Zhang1, Zhimin Cui1, Qiong Wu1, Dan Guo1, Yue Xu1, Lin Guo1 
TL;DR: In this paper, a top-down chemical etching approach was used to construct a composite microframe with many nanometer-sized branch-like nanorods distributed on the surfaces and edges.
Abstract: Composite materials with well-designed structures have been extensively explored to enhance their sensing performances. Here, through a simple “top-down” chemical etching approach, Cu2O–CuO composite microframes were fabricated with many nanometer-sized branch-like nanorods distributed on the surfaces and edges. The structure evolution was monitored and the synergistic process of the oxidation effect and Kirkendall diffusion was proposed to explain the formation mechanism of the structure. The Cu2O–CuO microframes show enhanced gas sensing properties towards CO with a lower detection limit, a higher sensitivity and a shorter response time than pure CuO microcubes and Cu2O microcubes at the working temperature of 240 °C. The enhanced sensing performance of the Cu2O–CuO microframe is probably attributed to the additional depletion layer formed between CuO and Cu2O, as well as the structural advantages of the microframe.

Journal ArticleDOI
TL;DR: A trigonal prism cell structure with a (0001) c plane and nnn{10-10} m planes is formed after KOH wet etching, and leads to a better ohmic contact and enhanced light extraction.
Abstract: Spotlight on etching: (11-22) semipolar GaN plane light-emitting diodes (LEDs) are demonstrated using a wet-etching process. A trigonal prism cell structure with a (0001) c plane and nnn{10-10} m planes is formed after KOH wet etching, and leads to a better ohmic contact and enhanced light extraction. LEDs fabricated by wet etching show excellent output performance 1.89 times higher than that of the reference LEDs.

Journal ArticleDOI
TL;DR: In this paper, a metal-assisted chemical etching (MaCE) method is proposed for silicon bulk micromachining process based on metal assisted chemical etch (MACE) which is anisotropic and by controlling the etching parameters, deep vertical etching, relative to substrate surface, can be achieved in micrometer size.
Abstract: In this paper, a newfound and simple silicon bulk micromachining process based on metal-assisted chemical etching (MaCE) is proposed which opens a whole new field of research in MEMS technology. This method is anisotropic and by controlling the etching parameters, deep vertical etching, relative to substrate surface, can be achieved in micrometer size for 〈1 0 0〉 oriented Si wafer. By utilizing gold as a catalyst and a photoresist layer as the single mask layer for etching, 60 µm deep gyroscope micromachined structures have been fabricated for 2 µm features. The results indicate that MaCE could be the only wet etching method comparable to conventional dry etching recipes in terms of achievable etch rate, aspect ratio, verticality and side wall roughness. It also does not need a vacuum chamber and the other costly instruments associated with dry etching techniques.