scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2014"


Journal ArticleDOI
TL;DR: The fundamentals of scanning probe lithography and its use in materials science and nanotechnology are reviewed, focusing on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.
Abstract: The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

531 citations


Journal ArticleDOI
TL;DR: In this article, the current state of block copolymer lithography and key challenges and opportunities within the field are discussed, focusing on advances and issues related to thermal annealing.
Abstract: This Perspective addresses the current state of block copolymer lithography and identifies key challenges and opportunities within the field. Significant strides in experimental and theoretical thin film research have nucleated the transition of block copolymers “from lab to fab”, but outstanding questions remain about the optimal materials, processes, and analytical techniques for first-generation devices and beyond. Particular attention herein is focused on advances and issues related to thermal annealing. Block copolymers are poised to change the traditional lithographic resolution enhancement paradigm from “top-down” to “bottom-up”.

511 citations


Journal ArticleDOI
TL;DR: This work reports an encoding strategy that combines spatial patterning with rare-earth upconversion nanocrystals, single-wavelength near-infrared excitation and portable CCD (charge-coupled device)-based decoding to distinguish particles synthesized by means of flow lithography.
Abstract: Polymer microparticles with unique, decodable identities are versatile information carriers with a small footprint. Widespread incorporation into industrial processes, however, is limited by a trade-off between encoding density, scalability and decoding robustness in diverse physicochemical environments. Here, we report an encoding strategy that combines spatial patterning with rare-earth upconversion nanocrystals, single-wavelength near-infrared excitation and portable CCD (charge-coupled device)-based decoding to distinguish particles synthesized by means of flow lithography. This architecture exhibits large, exponentially scalable encoding capacities (>106 particles), an ultralow decoding false-alarm rate (<10−9), the ability to manipulate particles by applying magnetic fields, and pronounced insensitivity to both particle chemistry and harsh processing conditions. We demonstrate quantitative agreement between observed and predicted decoding for a range of practical applications with orthogonal requirements, including covert multiparticle barcoding of pharmaceutical packaging (refractive-index matching), multiplexed microRNA detection (biocompatibility) and embedded labelling of high-temperature-cast objects (temperature resistance). The use of encoded microparticles in industrial settings is hampered by issues of scalability, decoding robustness and encoding density. Now, easily decodable microparticles with spatially patterned rare-earth upconversion nanocrystals, exponentially scalable encoding capacities and ultralow decoding false-alarm rates that are insensitive to harsh processing environments and can be used in practical applications such as durable anti-counterfeiting labels and multiplexed bioassays are reported.

337 citations


Journal ArticleDOI
TL;DR: It is demonstrated that, due to inherent stability of GPRs with respect to surfactants, the fabricated color print can be protected with a transparent dielectric overlay for ambient use without destroying its coloring.
Abstract: We demonstrate plasmonic color printing with subwavelength resolution using circular gap-plasmon resonators (GPRs) arranged in 340 nm period arrays of square unit cells and fabricated with single-step electron-beam lithography. We develop a printing procedure resulting in correct single-pixel color reproduction, high color uniformity of colored areas, and high reproduction fidelity. Furthermore, we demonstrate that, due to inherent stability of GPRs with respect to surfactants, the fabricated color print can be protected with a transparent dielectric overlay for ambient use without destroying its coloring. Using finite-element simulations, we uncover the physical mechanisms responsible for color printing with GPR arrays and suggest the appropriate design procedure minimizing the influence of the protection layer.

298 citations


Journal ArticleDOI
TL;DR: A general literature review on the various types of nanoimprint lithography processes especially R2R NIL and the methods commonly adapted to fabricate imprint molds are presented to provide a clear view and understanding on the nanoimprints lithography technique as well as its recent developments.
Abstract: Since its introduction in 1995, nanoimprint lithography has been demonstrated in many researches as a simple, low-cost, and high-throughput process for replicating micro- and nanoscale patterns. Due to its advantages, the nanoimprint lithography method has been rapidly developed over the years as a promising alternative to conventional nanolithography processes to fulfill the demands generated from the recent developments in the semiconductor and flexible electronics industries, which results in variations of the process. Roll-to-roll (R2R) nanoimprint lithography (NIL) is the most demanded technique due to its high-throughput fulfilling industrial-scale application. In the present work, a general literature review on the various types of nanoimprint lithography processes especially R2R NIL and the methods commonly adapted to fabricate imprint molds are presented to provide a clear view and understanding on the nanoimprint lithography technique as well as its recent developments.

272 citations


Journal ArticleDOI
TL;DR: This work presents the use of silk as a natural and biofunctional resist for electron-beam lithography, and fabricates nanoscale photonic lattices using both neat silk and silk doped with quantum dots, green fluorescent proteins (GFPs) or horseradish peroxidase (HRP) as a proof of principle of the viability.
Abstract: Traditional nanofabrication techniques often require complex lithographic steps and the use of toxic chemicals. To move from the laboratory scale to large scales, nanofabrication should be carried out using alternative procedures that are simple, inexpensive and use non-toxic solvents. Recent efforts have focused on nanoimprinting and the use of organic resists (such as quantum dot-polymer hybrids, DNA and poly(ethylene glycol)), which still require, for the most part, noxious chemicals for processing. Significant advances have been achieved using 'green' resists that can be developed with water, but so far these approaches have suffered from low electron sensitivity, line edge roughness and scalability constraints. Here, we present the use of silk as a natural and biofunctional resist for electron-beam lithography. The process is entirely water-based, starting with the silk aqueous solution and ending with simple development of the exposed silk film in water. Because of its polymorphic crystalline structure, silk can be used either as a positive or negative resist through interactions with an electron beam. Moreover, silk can be easily modified, thereby enabling a variety of 'functional resists', including biologically active versions. As a proof of principle of the viability of all-water-based silk electron-beam lithography (EBL), we fabricate nanoscale photonic lattices using both neat silk and silk doped with quantum dots, green fluorescent proteins (GFPs) or horseradish peroxidase (HRP).

236 citations


Journal ArticleDOI
TL;DR: In this article, a digital light processing (DLP) system was developed for the fabrication of complex technical ceramics, requiring high levels of detail and accuracy, and the feasibility to control the dimensional overgrowth to gain resolution below the native resolution of the light engine was evaluated.
Abstract: Lithography-based additive manufacturing (AM) is increasingly becoming the technology of choice for the small series or single unit production. At the TU Vienna a digital light processing (DLP) system was developed for the fabrication of complex technical ceramics, requiring high levels of detail and accuracy. The DLP-system used in this study creates a ceramic green part by stacking up layers of a photo-curable resin with a solid loading of around 45 vol.% zirconia. After a thermal debinding and sintering step the part turns into a dense ceramic and gains its final properties. The native resolution of the DLP process depends on the light engine's DMD (digital mirror device) chip and the optics employed. Currently it is possible to print 3D-structures with a spatial resolution down to 40 μm. A modification of the light source allows for the customization of the light curing strategy for each pixel of the exposed layers. This work presents methods to improve the geometrical accuracy as well as the structural properties of the final 3D-printed ceramic part by using the full capabilities of the light source. On the one hand, the feasibility to control the dimensional overgrowth to gain resolution below the native resolution of the light engine—a sub-pixel resolution—was evaluated. Overgrowth occurs due to light scattering and was found to be sensitive to both exposure time and exposed area. On the other hand, different light curing strategies (LCSs) and depths of cure (Cd) were used for the 3D-printing of ceramic green parts and their influence on cracks in the final ceramic was evaluated. It was concluded that softstart LCSs, as well as higher values for Cd, reduce cracks in the final ceramic. Applying these findings within the 3D-printing process may be another step toward flawless and highly accurate ceramic parts.

174 citations


Journal ArticleDOI
TL;DR: Using third harmonic (TH) spectroscopy, a substantial enhancement of the nonlinear emission intensity of single HIL-antennas is found compared to those produced by state-of-the-art gallium-based milling, which shows a vastly improved polarization contrast.
Abstract: Plasmonic nanoantennas are versatile tools for coherently controlling and directing light on the nanoscale. For these antennas, current fabrication techniques such as electron beam lithography (EBL) or focused ion beam (FIB) milling with Ga(+)-ions routinely achieve feature sizes in the 10 nm range. However, they suffer increasingly from inherent limitations when a precision of single nanometers down to atomic length scales is required, where exciting quantum mechanical effects are expected to affect the nanoantenna optics. Here, we demonstrate that a combined approach of Ga(+)-FIB and milling-based He(+)-ion lithography (HIL) for the fabrication of nanoantennas offers to readily overcome some of these limitations. Gold bowtie antennas with 6 nm gap size were fabricated with single-nanometer accuracy and high reproducibility. Using third harmonic (TH) spectroscopy, we find a substantial enhancement of the nonlinear emission intensity of single HIL-antennas compared to those produced by state-of-the-art gallium-based milling. Moreover, HIL-antennas show a vastly improved polarization contrast. This superior nonlinear performance of HIL-derived plasmonic structures is an excellent testimonial to the application of He(+)-ion beam milling for ultrahigh precision nanofabrication, which in turn can be viewed as a stepping stone to mastering quantum optical investigations in the near-field.

160 citations


Journal ArticleDOI
TL;DR: In this paper, a dip-in direct-laser-writing optical lithography was used to fabricate three-dimensional polymeric functional devices on pre-fabricated planar optical chips containing Si3N4 waveguides as well as grating couplers made by standard electronbeam lithography.
Abstract: Integrated optical chips have already been established for application in optical communication. They also offer interesting future perspectives for integrated quantum optics on a chip. At present, however, they are mostly fabricated using essentially planar fabrication approaches like electron-beam lithography or UV optical lithography. Many further design options would arise if one had complete fabrication freedom in regard to the third dimension normal to the chip without having to give up the virtues and the know-how of existing planar fabrication technologies. As a step in this direction, we here use three-dimensional dip-in direct-laser-writing optical lithography to fabricate three-dimensional polymeric functional devices on pre-fabricated planar optical chips containing Si3N4 waveguides as well as grating couplers made by standard electron-beam lithography. The first example is a polymeric dielectric rectangular-shaped waveguide which is connected to Si3N4 waveguides and that is adiabatically twisted along its axis to achieve geometrical rotation of linear polarization on the chip. The rotator’s broadband performance at around 1550 nm wavelength is verified by polarization-dependent grating couplers. Such polarization rotation on the optical chip cannot easily be achieved by other means. The second example is a whispering-gallery-mode optical resonator connected to Si3N4 waveguides on the chip via polymeric waveguides. By mechanically connecting the latter to the disk, we can control the coupling to the resonator and, at the same time, guarantee mechanical stability of the three-dimensional architecture on the chip. Direct laser writing is a popular scheme for constructing three-dimensional integrated optical structures. Martin Schumann and co-workers from the Karlsruhe Institute of Technology and the Institute of Nanotechnology in Germany used two-photon polymerization to create three-dimensional polymer objects such as bridge waveguides, a twisted-waveguide polarization rotator and free-standing disk resonators. The structures, which would be difficult or impossible to construct using planar lithography, were successfully integrated with silicon optical chips featuring silicon nitride waveguides that guide light in the 1,550 nm telecommunications wavelength window. The researchers say that their approach could also be used to provide convenient access to three-dimensional photonic crystals. An advanced form of this approach that exploits higher resolutions would allow the construction of structures that are compatible with visible wavelengths.

138 citations


Journal ArticleDOI
TL;DR: It is demonstrated that properly designed microfilters can capture MCF-7 cancer cells at rate of 98 ± 2% if they consist of uniform patterned distributions, ≥160 000 pores, and 7 μm pore diameters.
Abstract: Circulating tumor cells (CTCs) disseminated into peripheral blood from a primary, or metastatic, tumor can be used for early detection, diagnosis and monitoring of solid malignancies CTC isolation by size exclusion techniques have long interested researchers as a simple broad based approach, which is methodologically diverse for use in both genomic and protein detection platforms Though a variety of these microfiltration systems are employed academically and commercially, the limited ability to easily alter microfilter designs has hindered the optimization for CTC capture To overcome this problem, we studied a unique photo-definable material with a scalable and mass producible photolithographic fabrication method We use this fabrication method to systematically study and optimize the parameters necessary for CTC isolation using a microfiltration approach, followed by a comparison to a “standard” filtration membrane We demonstrate that properly designed microfilters can capture MCF-7 cancer cells at rate of 98 ± 2% if they consist of uniform patterned distributions, ≥160 000 pores, and 7 μm pore diameters

137 citations


Journal ArticleDOI
TL;DR: The combination of overhanging cross-sections and their arrangement in a self-supporting comblike pattern are crucial for mechanically stable coatings that can be even applied to curved surfaces.
Abstract: Springtail skin morphology is translated into robust omniphobic polymer membranes by reverse imprint lithography. The combination of overhanging cross-sections and their arrangement in a self-supporting comblike pattern are crucial for mechanically stable coatings that can be even applied to curved surfaces.

Journal ArticleDOI
Tongchuan Gao1, Baomin Wang1, Bo Ding1, Jung-Kun Lee1, Paul W. Leu1 
TL;DR: A comprehensive simulation and experimental study on the optical and electronic properties of uniform and ordered copper nanomeshes (Cu NMs) to determine their performance for transparent conductors and demonstrates a scalable, facile microsphere-based method to fabricate NMs on rigid quartz and flexible polyethylene terephthalate substrates.
Abstract: We report a comprehensive simulation and experimental study on the optical and electronic properties of uniform and ordered copper nanomeshes (Cu NMs) to determine their performance for transparent conductors. Our study includes simulations to determine the role of propagating modes in transmission and experiments that demonstrate a scalable, facile microsphere-based method to fabricate NMs on rigid quartz and flexible polyethylene terephthalate substrates. The fabrication method allows for precise control over NM morphology with near-perfect uniformity and long-range order over large areas on rigid substrates. Our Cu NMs demonstrate 80% diffuse transmission at 17 Ω/square on quartz, which is comparable to indium tin oxide. We also performed durability experiments that demonstrate these Cu NMs are robust from bending, heating, and abrasion.

Journal ArticleDOI
TL;DR: A method in electron-optics for arbitrarily shaping electron beams into a single desired shape, by precise patterning of a thin-membrane, allowing for nearly-maximal energy transference to the designed shape, and may avoid physical damage and charging effects that are the scorn of commonly-used phase-plates.

Journal ArticleDOI
TL;DR: In this article, the authors proposed a defect-free EUVL mask for 3D integrated circuits (3D ICs) based on 3D stacking using through silicon via and showed that the possible further delay of EUVL could enhance the realization of 3D IC system improvement.
Abstract: Extreme ultraviolet lithography (EUVL) and three dimensional integrated circuit (3D IC) were thoroughly reviewed. Since proposed in 1988, EUVL obtained intensive studies globally and, after 2000, became the most promising next generation lithography method even though challenges were present in almost all aspects of EUVL technology. Commercial step-and-scan tools for preproduction are installed now with full field capability; however, EUV source power at intermediate focus (IF) has not yet met volume manufacturing requirements. Compared with the target of 200 W in-band power at IF, current tools can supply only approximately 40–55 W. EUVL resist has improved significantly in the last few years, with 13 nm line/space half-pitch resolution being produced with approximately 3–4 nm line width roughness (LWR), but LWR needs 2× improvement. Creating a defect-free EUVL mask is currently an obstacle. Actual adoption of EUVL for 22 nm and beyond technology nodes will depend on the extension of current optical lithography (193 nm immersion lithography, combined with multiple patterning techniques), as well as other methods such as 3D IC. Lithography has been the enabler for IC performance improvement by increasing device density, clock rate, and transistor rate. However, after the turn of the century, IC scaling resulted in short-channel effect, which decreases power efficiency dramatically, so clock frequency almost stopped increasing. Although further IC scaling by lithography reduces gate delay, interconnect delay and memory wall are dominant in determining the IC performance. 3D IC technology is a critical technology today because it offers a reasonable route to further improve IC performance. It increases device density, reduces the interconnect delay, and breaks memory wall with the application of 3D stacking using through silicon via. 3D IC also makes one chip package have more functional diversification than those enhanced only by shrinking the size of the features. The main advantages of 3D IC are the smaller form factor, low energy consumption, high speed, and functional diversification. EUVL, if adopted, will continue to enable IC performance improvement at a slower rate, but 3D IC provides an alternative way to improve the system performance. The best scenario is the adoption of both EUVL and 3D IC. However, the possible further delay of EUVL could enhance the realization of 3D IC for IC system improvement.

Patent
Ching-Yu Chang1
25 Feb 2014
TL;DR: In this paper, a photo resist is pre-exposed using a lithography mask and then exposed using a post-exposure mask, and the photo resist was then developed.
Abstract: A method includes coating a photo resist on a wafer in a first production tool, and performing a pre-exposure baking on the photo resist in a second production tool separate from the first production tool. After the pre-exposure baking, the photo resist is exposed using a lithography mask. After the step of exposing the photo resist, a post-exposure baking is performed on the photo resist. The photo resist is then developed.

Journal ArticleDOI
TL;DR: This work is able to produce low-cost high-quality holey-carbon film coated EM grids with ∼500nm holes spaced 4μm apart centre-to-centre, and shows that the contrast transfer function (CTF) parameters needed for calculation of high-resolution cryo-EM maps with a DDD can be obtained efficiently.

Journal ArticleDOI
TL;DR: Current 3D printing techniques can be used to create low-cost personalized/patient-specific hepatic 3D models from clinical radiology studies for surgical resident education.

Journal ArticleDOI
TL;DR: A versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film is described.
Abstract: Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography—a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.

Journal ArticleDOI
TL;DR: In this article, the design and fabrication of 3D hollow metallic nanolattices using 2-photon lithography is presented, showing that the ability to fabricate structures of any geometry, with resolution down to 150nm, provides opportunities to engineer structures spanning multiple length scales with potential to capitalize on combined structural and material size effects for use in many technological applications.
Abstract: This paper presents the design and fabrication of 3-dimensional hollow metallic nanolattices using 2-photon lithography, shown in the figure. The ability to fabricate structures of any geometry, with resolution down to 150 nm, provides opportunities to engineer structures spanning multiple length scales with potential to capitalize on combined structural and material size effects for use in many technological applications.

Journal ArticleDOI
TL;DR: In this paper, a high-resolution spectrometer-on-chip based on digital planar holograms is presented, which can encode any optical transfer function with high customizability and is compatible with semiconductor lithography techniques and nano-imprint lithography.
Abstract: Computer-generated planar holograms are a powerful approach for designing planar lightwave circuits with unique properties. Digital planar holograms in particular can encode any optical transfer function with high customizability and is compatible with semiconductor lithography techniques and nanoimprint lithography. Here, we demonstrate that the integration of multiple holograms on a single device increases the overall spectral range of the spectrometer and offsets any performance decrement resulting from miniaturization. The validation of a high-resolution spectrometer-on-chip based on digital planar holograms shows performance comparable with that of a macrospectrometer. While maintaining the total device footprint below 2 cm2, the newly developed spectrometer achieved a spectral resolution of 0.15 nm in the red and near infrared range, over a 148 nm spectral range and 926 channels. This approach lays the groundwork for future on-chip spectroscopy and lab-on-chip sensing. An on-chip spectrometer based on digital planar holograms offers a miniature alternative to conventional devices. Developed by three research team in California, USA, the spectrometer uses two computer-designed holograms as high-resolution gratings for separating different wavelengths of light in the spectral bands of 630–694 nm and 766–850 nm. The holograms are made by electron beam lithography and reactive ion etching of a Si/SiO2/Si3N4 substrate. The result is a semiconductor spectrometer chip that occupies a footprint of less than 2 cm2 and boasts a resolution of 0.15 nm and a bandwidth of 148 nm across the red and near-infrared regions. The researchers say that the device’s performance is comparable to much larger conventional instruments and will be a useful component for ‘lab-on-a-chip’ applications such as sensing. They also believe that it should be possible to make even smaller versions with higher performance in the future.

Journal ArticleDOI
TL;DR: Self-aligned, bottom-up and self-assembly based Shrinking-Hole Colloidal Lithography provides unique control of the size and position of subsequently deposited particles forming the nanoantenna itself, and allows delivery of nanoobjects consisting of a material of choice to the antenna hot spot, all in a single lithography step and, if desired, uniformly covering several square centimeters of surface.
Abstract: Plasmonic nanoantennas create locally strongly enhanced electric fields in so-called hot spots. To place a relevant nanoobject with high accuracy in such a hot spot is crucial to fully capitalize on the potential of nanoantennas to control, detect, and enhance processes at the nanoscale. With state-of-the-art nanofabrication, in particular when several materials are to be used, small gaps between antenna elements are sought, and large surface areas are to be patterned, this is a grand challenge. Here we introduce self-aligned, bottom-up and self-assembly based Shrinking-Hole Colloidal Lithography, which provides (i) unique control of the size and position of subsequently deposited particles forming the nanoantenna itself, and (ii) allows delivery of nanoobjects consisting of a material of choice to the antenna hot spot, all in a single lithography step and, if desired, uniformly covering several square centimeters of surface. We illustrate the functionality of SHCL nanoantenna arrangements by (i) an optical hydrogen sensor exploiting the polarization dependent sensitivity of an Au-Pd nanoantenna ensemble; and (ii) single particle hydrogen sensing with an Au dimer nanoantenna with a small Pd nanoparticle in the hot spot.

Journal ArticleDOI
Bin Ai1, Ye Yu1, Helmuth Möhwald2, Gang Zhang1, Bai Yang1 
TL;DR: The introduction of colloidal lithography provides not only efficient fabrication processes but also plasmonic films with unique nanostructures, which are difficult to be fabricated by conventional lithography techniques.

Journal ArticleDOI
TL;DR: A one-step fabrication of patterned graphene on SiO2 substrates through a process free from catalysts, transfer, and lithography is demonstrated, and should be highly desirable for the proliferation of graphene applications.
Abstract: We demonstrate a one-step fabrication of patterned graphene on SiO2 substrates through a process free from catalysts, transfer, and lithography. By simply placing a shadow mask during the plasma enhanced chemical vapor deposition (PECVD) of graphene, an arbitrary shape of graphene can be obtained on SiO2 substrate. The formation of graphene underneath the shadow mask was effectively prevented by the low-temperature, catalyst-free process. Growth conditions were optimized to form polycrystalline graphene on SiO2 substrates and the crystalline structure was characterized by Raman spectroscopy and transmission electron microscopy (TEM). Patterned graphene on SiO2 functions as a field-effect device by itself. Our method is compatible with present device processing techniques, and should be highly desirable for the proliferation of graphene applications.

Proceedings Article
Stefan Wurm1
01 Apr 2014
TL;DR: The first printed images from the EUV HVM tool generation that is being deployed in the field demonstrate the expected imaging quality; however, the industry is waiting to see if reliability data and productivity improvements of the first production tools at customer sites will meet expectations as mentioned in this paper.
Abstract: EUVL holds the promise of returning to a high k1 lithography thereby reducing design and process complexity. First printed images from the EUV HVM tool generation that is being deployed in the field demonstrate the expected imaging quality; however, the industry is waiting to see if reliability data and productivity improvements of the first production tools at customer sites will meet expectations. While EUVL is still facing infrastructure and productivity challenges—mask blank defectivity and source power the most prominent among those—EUVL it is seen as the only technology that could meet the semiconductor industry's need to significantly reduce lithography cost from the double and multiple patterning approaches that are currently required to achieve the desired feature resolution.

Journal ArticleDOI
TL;DR: The measurement of delocalized energy transfer in EBL exposure is shown by using chromatic aberration-corrected energy-filtered transmission electron microscopy (EFTEM) at the sub-10 nm scale and it is expected that these results will enable alternative ways to improve the resolution limit of EBL.
Abstract: One challenge existing since the invention of electron-beam lithography (EBL) is understanding the exposure mechanisms that limit the resolution of EBL. To overcome this challenge, we need to understand the spatial distribution of energy density deposited in the resist, that is, the point-spread function (PSF). During EBL exposure, the processes of electron scattering, phonon, photon, plasmon, and electron emission in the resist are combined, which complicates the analysis of the EBL PSF. Here, we show the measurement of delocalized energy transfer in EBL exposure by using chromatic aberration-corrected energy-filtered transmission electron microscopy (EFTEM) at the sub-10 nm scale. We have defined the role of spot size, electron scattering, secondary electrons, and volume plasmons in the lithographic PSF by performing EFTEM, momentum-resolved electron energy loss spectroscopy (EELS), sub-10 nm EBL, and Monte Carlo simulations. We expect that these results will enable alternative ways to improve the resol...

Journal ArticleDOI
TL;DR: Since higher dimensional size of feature vectors can produce better accuracy but requires longer run time, this paper proposes a grid reduction technique to significantly reduce the CPU run time with very minor impact on the advantages of higher dimensional space.
Abstract: In advanced IC manufacturing, as the gap increases between lithography optical wavelength and feature size, it becomes challenging to detect problematic layout patterns called lithography hotspot. In this paper, we propose a novel fuzzy matching model which extracts appropriate feature vectors of hotspot and nonhotspot patterns. Our model can dynamically tune appropriate fuzzy regions around known hotspots. Based on this paper, we develop a fast algorithm for lithography hotspot detection with high accuracy of detection and low probability of false-alarm counts. In addition, since higher dimensional size of feature vectors can produce better accuracy but requires longer run time, this paper proposes a grid reduction technique to significantly reduce the CPU run time with very minor impact on the advantages of higher dimensional space. Our results are very encouraging, with average 94.5% accuracy and low false-alarm counts on a set of test benchmarks.

Journal ArticleDOI
14 Mar 2014-Langmuir
TL;DR: The results of this study allow precise shape tuning during the fabrication of nanostructured surfaces with size-dependent properties for bionic, medical, and photonic applications.
Abstract: Anisotropic deformation of polystyrene particles in an oxygenated (O2/Ar) plasma is observed for radio frequency (rf) plasma and inductively coupled plasma (ICP). A facile model based on a ratio of completely isotropic and completely anisotropic etching is presented to describe the anisotropy of the etching process and is implemented to determine the height of the spheroid-shaped polystyrene particles. In our systems, we find the plasma etching to be 54% isotropic in the rf plasma and 79% isotropic in the ICP. With this model, the maximum material deposition thickness for nanofabrication with plasma-etched nanosphere lithography or colloid lithography can be predicted. Moreover, the etching of polystyrene particles in an oxygenated plasma is investigated versus the etching time, gas flow, gas composition, temperature, substrate material, and particle size. The results of this study allow precise shape tuning during the fabrication of nanostructured surfaces with size-dependent properties for bionic, medic...

Journal ArticleDOI
TL;DR: Since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals.
Abstract: SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters) high-aspect-ratio (up to 100:1) 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV) exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

Journal ArticleDOI
TL;DR: A new technique, electro-hydrodynamic nanowire (e-NW) lithography, is demonstrated for the rapid, inexpensive, and efficient fabrication of graphene nanorib bons (GNRs) on a large scale while simultaneously controlling the location and alignment of the GNRs.
Abstract: A new technique, electro-hydrodynamic nanowire (e-NW) lithography , is demonstrated for the rapid, inexpensive, and efficient fabrication of graphene nanorib bons (GNRs) on a large scale while simultaneously controlling the location and alignment of the GNRs A series of interesting GNR architectures, including parallel lines, grids, ladders, and stars are produced A sub-10-nm-wide GNR is obtained to fabricate field-effect transistors that show a room-temperature on/off current ratio of ca 70

Journal ArticleDOI
TL;DR: In this article, the authors reviewed the emergence of three-dimensional sub-Abbe optical nanoscopy, which in its original version deployed stimulated-emission-induced depletion (STED) of the excited state of the fluorophores to break the diffraction limit.
Abstract: We review the emergence of three-dimensional sub-Abbe optical nanoscopy, which in its original version deployed stimulated-emission-induced depletion (STED) of the excited state of the fluorophores to break the diffraction limit We focus first on different methods to realize a donut-shaped point spread function (PSF), which are key to distribute the intensity of the depleting beam around the originally excited focal volume, and second on methods to suppress fluorescence, which are alternative to stimulated emission In the second part, we elaborate on the transition of STED microscopy to STED lithography We present data which show the state of the art of minimal axial feature sizes (around 55 nm) Again, stimulated emission is not the only technique to decrease the feature size and the resolution in optical lithography Alternative techniques are up-conversion within the triplet system or excitation of polymerization stoppers inside the donut-shaped depletion PSF We conclude with a comparison of the record resolutions in STED and STED-related lithography techniques, which are in the range of some tens of nanometers, far below the wavelength of visible light