scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2001"


Journal ArticleDOI
TL;DR: Soft lithography offers the ability to control the molecular structure of surfaces and to pattern the complex molecules relevant to biology, to fabricate channel structures appropriate for microfluidics, and topattern and manipulate cells.
Abstract: ▪ Abstract Soft lithography, a set of techniques for microfabrication, is based on printing and molding using elastomeric stamps with the patterns of interest in bas-relief. As a technique for fabricating microstructures for biological applications, soft lithography overcomes many of the shortcomings of photolithography. In particular, soft lithography offers the ability to control the molecular structure of surfaces and to pattern the complex molecules relevant to biology, to fabricate channel structures appropriate for microfluidics, and to pattern and manipulate cells. For the relatively large feature sizes used in biology (≥50 μm), production of prototype patterns and structures is convenient, inexpensive, and rapid. Self-assembled monolayers of alkanethiolates on gold are particularly easy to pattern by soft lithography, and they provide exquisite control over surface biochemistry.

2,659 citations


Journal ArticleDOI
TL;DR: In this paper, single-domain cobalt dot arrays with high magnetic particle density are fabricated by self-assembled block copolymer lithography, using a polystyrene-poly(ferrocenyldimethylsilane) copolymers as a template.
Abstract: Single-domain cobalt dot arrayswith high magnetic particle density, patterned over large areas (e.g., 10 cm diameter wafers) are fabricated by self-assembled block copolymer lithography, using a polystyrene-poly(ferrocenyldimethylsilane) copolymer as a template. By varying the copolymer type and etching conditions the magnetic properties can be tuned. The Figure shows a typical array of Co dots with tungsten caps obtained via this procedure.

654 citations


Patent
02 Feb 2001
TL;DR: In this article, the amorphous carbon film is used as a hardmask for hard-masking an integrated circuit and an anti-reflective coating for deep ultraviolet (DUV) lithography.
Abstract: A method of forming an integrated circuit using an amorphous carbon film. The amorphous carbon film is formed by thermally decomposing a gas mixture comprising a hydrocarbon compound and an inert gas. The amorphous carbon film is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the amorphous carbon film is used as a hardmask. In another integrated circuit fabrication process, the amorphous carbon film is an anti-reflective coating (ARC) for deep ultraviolet (DUV) lithography. In yet another integrated circuit fabrication process, a multi-layer amorphous carbon anti-reflective coating is used for DUV lithography.

583 citations


Journal ArticleDOI
TL;DR: A high-resolution printing technique based on transferring a pattern from an elastomeric stamp to a solid substrate by conformal contact is developed, an attempt to enhance the accuracy of classical printing to a precision comparable with optical lithography, creating a low-cost, large-area, high- resolution patterning process.
Abstract: We are developing a high-resolution printing technique based on transferring a pattern from an elastomeric stamp to a solid substrate by conformal contact. This is an attempt to enhance the accuracy of classical printing to a precision comparable with optical lithography, creating a low-cost, large-area, high-resolution patterning process. First, we introduce the components of this technique, called soft lithography, and review its evolution. Topics described in detail are the stamp material, stamp architecture, pattern design rules, and printing tools. The accuracy of the prints made by thin patterned elastomeric layers supported on a stiff and flexible backplane is then assessed, and defects are characterized using a new electrical metrology approach. This is followed by a discussion of various printing processes used in our laboratory: 1) thiol printing for high-resolution patterns of noble metals that may also be used as sacrificial masks; 2) confined contact processing with liquids in cavities or channels to chemically convert a substrate or deposit layers of materials or biomolecules; 3) printing of catalysts to mediate patterned deposition of metals; and 4) structured, light-guiding stamps for transferring high-resolution patterns into photoresists. Finally, we compare classical and high-resolution printing approaches, and describe their potential for emerging micro-and nano-scale patterning technologies.

557 citations


Journal ArticleDOI

461 citations


Journal ArticleDOI
TL;DR: This method is the first that combines the versatility of electrochemistry with the simplicity and power of the DPN method to produce nanostructures with high resolution and potentially, one could use this method to fabricate nanodevices with multiple metal and semiconductor components.
Abstract: In recent years, SPM-based lithography has attracted great attention because of its simplicity and precise control of the structure and location Many SPM lithography techniques based on mechanical scratching,1 anodization of Si surfaces,2 electrochemical decomposition of self-assembled monolayers,3 electric field-induced chemical reactions,4 electrochemical reactions in solution using electrochemical STM tips5 have been developed in the past decade Comprehensive reviews of SPM-related lithography can be found in the literature6 More recently, a “dippen” nanolithography (DPN) method has been invented that uses an atomic force microscope (AFM) tip as a “nib” to directly deliver organic molecules onto suitable substrate surfaces, such as Au7 By using this technique, organic monolayers can be directly written on the surface with no additional steps, and multiple inks can be used to write different molecules on the same surface However, the current “dip-pen” method can only be used to deliver organic molecules to the surface The long-term stability of the created structures is a potential problem Here we report a new electrochemical “dip-pen” lithography technique that can be used to directly fabricate metal and semiconductor nanostructures on surfaces This technique has all the advantages of the previous “dip-pen” technique and improves the thermal stability and chemical diversity of the structures because they now could be made of various inorganic materials Furthermore, the ability to directly fabricate metal or semiconductor nanostructures on surfaces with a high degree of control over location and geometry is of significant interest in nanotechnology Potentially, one could use this method to fabricate nanodevices with multiple metal and semiconductor components When AFM is used in air to image a surface, the narrow gap between the tip and surface behaves as a tiny capillary that condenses water from the air This tiny water meniscus is actually an important factor that has limited the resolution of AFM in air “Dip-pen” AFM lithography uses the water meniscus to transport organic molecules from tip to surface7 In our new technique, we also use the tiny water meniscus on the AFM tip as the transfer medium However, unlike in the previous AFM “dip-pen” method where water is only used as a solvent for the molecules, we have used this tiny water meniscus as a nanometer-sized electrochemical cell in which metal salts can be dissolved, reduced into metals electrochemically, and deposited on the surface (Figure 1) Although electric field-induced chemical reactions,4 electrochemical reactions in solutions using electrochemical STM,5 and electrochemical deposition using self-assembled monolayer as resist3c have been previously used to create metallic nanostructures, our method is the first that combines the versatility of electrochemistry with the simplicity and power of the DPN method to produce nanostructures with high resolution Electrochemical STM-based methods require that the substrates be metallic, but substrates used in our method do not have to be metallic since the control feedback of the AFM does not rely on the current between the tip and surface Si wafers coated with native oxide provides enough conductivity for the reduction of the precursor ions This development significantly expands the scope of DPN lithography, making it a more general nanofabrication technique that not only can be used to deliver organic molecules to surfaces but is also capable of fabricating metallic and semiconducting structures with precise control over location and geometry Because of the electrochemical nature of this new approach, we call this technique electrochemical “dip-pen” nanolithography (E-DPN) We have investigated the deposition of several metals and semiconductors on Si surfaces at room temperature using the E-DPN technique Here we show the deposition of Pt metal as an example8 The experiments were performed using a Nanoscape IIIa AFM (Digital Instruments) In a typical experiment, an ultrasharp silicon cantilever coated with H2PtCl6 is scanned on a cleaned P-type Si (100) surface with a positive DC bias applied on the tip During this lithographic process, H2PtCl6 dissolved in the water meniscus is electrochemically reduced from Pt(IV) to Pt(0) metal at the cathodic silicon surface and deposits as Pt nanofeatures according to the following equation:

243 citations


Journal ArticleDOI
Jian Zhang, K L Tan, G D Hong, L J Yang, Hao Gong 
TL;DR: In this paper, the optimization results of SU-8 under UV lithography are reported and the parameters which could have an influence on the lithography quality are chosen and optimized by a three-level, L9 orthogonal array of the Taguchi method.
Abstract: In this paper, SU-8 EPON-based photoresist (PR) polymerization optimization and its possible microfluidic and MEMS applications are reported. First, the optimization results of SU-8 under UV lithography are reported. The parameters which could have an influence on the lithography quality were chosen and optimized by a three-level, L9 orthogonal array of the Taguchi method. By optimization, the optimal parameter range and the weighted per cent of a parameter on the final results were determined. For SU-8-5 and SU-8-50, many microstructures with thicknesses of more than 100 and 500 µm and aspect ratios of more than 20 and 50 were obtained with high resolution. The optimization results show that the prebake time plays the key role in the quality, which is different from the previously published results. With the optimization results obtained, some possible applications of SU-8 were developed and demonstrated. These applications included using SU-8 as a structural material for a microfluidic system, as a micromold for electroplating, as a master for plastic hot-embossing, and even as a mask for some wet-etching processes.

241 citations


Journal ArticleDOI
TL;DR: In this article, the authors predicted that photonic crystals active at visible and near-infrared wavelengths would possess a variety of exciting optical properties, but only recently have experimentalists begun to build materials that realize this potential in the laboratory.
Abstract: Over a decade ago, theorists predicted that photonic crystals active at visible and near-infrared wavelengths would possess a variety of exciting optical properties. Only in the last several years, however, have experimentalists begun to build materials that realize this potential in the laboratory. This lag between experiment and theory is primarily due to the to the challenges associated with fabricating these unique materials. As the term “crystal” suggests, these samples must consist of highly perfect ordered arrays of solids. However, unlike conventional crystals, which exhibit order on the angstrom length scale, photonic crystals must have order on the submicrometer length scale. In addition, many of the most valuable properties of photonic crystals are only realized when samples possess a “full” photonic bandgap. For such systems, large dielectric contrasts and particular crystal symmetries create a range of frequencies over which light cannot propagate. Realizing the nanoscopic architectures required to form such systems is a challenge for experimentalists. As a result, fabrication schemes that rely on lithographic techniques or spontaneous assembly have been a focus in the development of the field.

221 citations


Journal ArticleDOI
TL;DR: In this article, the design of orientation stages for high-resolution imprint lithography machines is presented, and two different orientation stages are designed for single-and multi-imprint machines.
Abstract: This paper presents the design of orientation stages for high-resolution imprint lithography machines. These machines implement a new lithography process known as Step and Flash Imprint Lithography (SFIL) and are intended for 1) sub 100 nm imprint demonstrations on flat substrates and 2) investigation of potential defect propagation during step and repeat imprinting. SFIL is an imprint lithography process that is a combination of chemical and mechanical steps and its implementation at room temperature and low pressure makes it an attractive process as compared to other imprint techniques. A critical component of an imprint machine is the orientation stage that is required to provide uniform intimate contact between the template and substrate surfaces. The orientation stage requirements are distinct from those used in photolithography since the depth of focus of projection optics allows for larger errors in the orientation alignment. Also, due to contact between the template and substrate surfaces in imprint lithography, the separation kinematics must be carefully controlled in the SFIL process. Two different orientation stages are designed for single- and multi-imprint machines. In order to eliminate the particle contamination due to frictional contacts, all joints are made with flexure joints. Imprint experiments have been performed to demonstrate sub 100 nm imprints.

213 citations


Journal ArticleDOI
TL;DR: In this paper, the impact of visco-elastic properties of polymers on the fidelity of pattern transfer in hot embossing lithography has been studied using different imprint systems and process conditions.

212 citations


Journal ArticleDOI
Lloyd R. Harriott1
01 Mar 2001
TL;DR: The author examines the limits of lithography and possible future technologies from both a technical and economic point of view.
Abstract: Lithography technology has been one of the key enablers and drivers for the semiconductor industry for the past several decades. Improvements in lithography are responsible for roughly half of the improvement in cost per function in integrated circuit (IC) technology. The underlying reason for the driving force in semiconductor technology has been the ability to keep the cost for printing a silicon wafer roughly constant while dramatically increasing the number of transistors that can be printed per chip. ICs have always been printed optically with improvements in lens and imaging material technology along with decreases in wavelength used fueling the steady improvement of lithography technology. The end of optical lithography technology has been predicted by many and for many years. Many technologies have been proposed and developed to improve on the performance of optical lithography, but so far none has succeeded. This has been true largely because it has always been more economical to push incremental improvements in the existing optical technology rather than displace it with a new one. At some point in time, the costs for pushing optical lithography technology beyond previously conceived limits may exceed the cost of introducing new technologies. In this paper the author examines the limits of lithography and possible future technologies from both a technical and economic point of view.

Patent
16 May 2001
TL;DR: In this article, focus offset coefficients are used to change the focus at points within a slot to compensate for the linewidth control parameter variations introduced by the factors contributing to such variations.
Abstract: Particular types of distortion within a lithographic system may be characterized by linewidth control parameters. Linewidth control parameters of any given line or feature within a printed pattern vary as a result of optical capabilities of the lithography apparatus used, particular characteristics of the reticle, focus setting, light dose fluctuations, etc. The instant invention uses focus offset coefficients to change the focus at points within a slot to compensate for the linewidth control parameter variations introduced by the factors contributing to such variations. Additionally, different focuses can be set dynamically along the scan for a particular slot point. A set, or sets, of focus offset coefficients is generated for a particular lithography apparatus, depending on the number of line width control parameters for which correction is desired.

Journal ArticleDOI
TL;DR: This paper presents an overview of several resolution-enhancement techniques being developed and implemented in IBM for its leading-edge CMOS logic and memory products.
Abstract: Advances in lithography have contributed significantly to the advancement of the integrated circuit technology. While nonoptical next-generation lithography (NGL) solutions are being developed, optical lithography continues to be the workhorse for high-throughput very-large-scale integrated (VLSI) lithography. Extending optical lithography to the resolution levels necessary to support today’s aggressive product road maps increasingly requires the use of resolution-enhancement techniques. This paper presents an overview of several resolution-enhancement techniques being developed and implemented in IBM for its leading-edge CMOS logic and memory products.

Patent
02 May 2001
TL;DR: In this paper, a method of fabricating an electronic chip on a wafer in which a first mask at a predetermined lower resolution is developed on the wafer and then etched under a first set of conditions for a predetermined period to achieve a mask that is below the resolution limit of current lithography.
Abstract: A method of fabricating an electronic chip on a wafer in which a first mask at a predetermined lower resolution is developed on the wafer and then etched under a first set of conditions for a predetermined period to achieve a mask that is below the resolution limit of current lithography. The etched mask is then used as a hard mask for etching material on a lower layer.

Journal ArticleDOI
TL;DR: Inspection of an imprint template before and after imprinting revealed that the template actually becomes cleaner with imprinting, and visual inspection of multiple imprints did not reveal any systematic generation or propagation of defects.
Abstract: Step and flash imprint lithography (SFIL) is a promising, low cost alternative to projection printing. This technique has demonstrated very high resolution and overlay alignment capabilities, but it is a contact printing technique so there is concern about defect generation and propagation. A series of experiments has been carried out with the goal of quantifying the effect of defect propagation. To that end, each unit process in SFIL was studied independently. The number of particles added during handling and transportation and due to SFIL machinery was deemed acceptable, and the added particles should not complicate the inspection of process defects. The concept of a “self-cleaning” process in which the imprint template becomes cleaner by imprinting was revisited. Inspection of an imprint template before and after imprinting revealed that the template actually becomes cleaner with imprinting. Visual inspection of multiple imprints did not reveal any systematic generation or propagation of defects. The inspection area used in this study was limited, however, since the inspection was both manual and visual. Imprinting for this defect study was performed at the University of Texas in a Class 10 cleanroom, and inspection was performed at International SEMATECH.


Journal ArticleDOI
TL;DR: In this paper, a method based on electron beam lithography and reactive ion etching was developed to fabricate NIL molds with three-dimensional protrusions, including T-gates and air-bridge structures, in a single step imprint in polymer and metal by lift-off.
Abstract: We demonstrated that nanoimprint lithography (NIL) can create three-dimensional patterns, sub-40 nm T-gates, and air-bridge structures, in a single step imprint in polymer and metal by lift-off. A method based on electron beam lithography and reactive ion etching was developed to fabricate NIL molds with three-dimensional protrusions. The low-cost and high-throughput nanoimprint lithography for three-dimensional nanostructures has many significant applications such as monolithic microwave integrated circuits and nanoelectromechanical system.

Journal ArticleDOI
TL;DR: In this paper, the optimization results of SU-8 polymerization under near UV lithography are reported and the parameters which could have influence on the lithography quality are chosen and optimized by a three level, L9 Orthogonal Array of Taguchi Method.

Journal ArticleDOI
TL;DR: In this article, numerical simulations and experimental studies are carried out to understand the deformation process of thin polymer film in nano-imprint lithography, and the areal penetration ratio of the polymer into the recessed groove of the mold and residual thickness underneath the mold are quantitatively evaluated.
Abstract: Numerical simulations and experimental studies are carried out to understand the deformation process of thin polymer film in nanoimprint lithography. Deformation of a thin polymer above its glass transition temperature is studied for various imprinting conditions such as the aspect ratios of a mold pattern, initial thickness of the polymer, and imprinting pressure. Cross-sectional profiles of the deformed polymers are simulated by the finite element method based on a rubber elastic model. The results are compared with experimental data. The areal penetration ratio of the polymer into the recessed groove of the mold and residual thickness underneath the mold are quantitatively evaluated. The simulations and the experimental results agree well with each other.

Journal ArticleDOI
TL;DR: In this paper, the current status of two specific approaches, the microcolumn and the single column with a multiple electron-beam source (photocathode), are reported, and a more detailed review of the recent advances in microcolumn technology is presented.


Patent
01 Aug 2001
TL;DR: In this article, high precision gap and orientation measurement methods between a template and a substrate used in imprint lithography processes are described. But they use broad-band light-based measuring techniques.
Abstract: Described are high precision gap and orientation measurement methods between a template and a substrate used in imprint lithography processes. Gap and orientation measurement methods presented here include uses of broad-band light based measuring techniques.

Book
29 Nov 2001
TL;DR: In this paper, molecular dynamics microgrinding diamond machining ultrasonic micromachining microelectrodischarge machining laser MICROMACHINING electrochemical micromechining ion beam machining electronbeam machining high-resolution lithography.
Abstract: Measurement molecular dynamics microgrinding diamond machining ultrasonic micromachining microelectrodischarge machining laser micromachining electrochemical micromachining ion beam machining electron beam machining high-resolution lithography.

Journal ArticleDOI
TL;DR: In this article, a method for the 3D fabrication of microstructures by means of direct fs-beam writing (scanning) inside a polymerizable resin is presented, which is based on two principles: the use of short, sub-picosecond pulses and simultaneous tight focusing.
Abstract: We report herein a method for the three-dimensional (3D) fabrication of microstructures by means of direct fs-beam writing (scanning) inside a polymerizable resin. Photopolymerization takes place via two-photon absorption (TPA), as indicated by measurements of transmission power dependence. This concept of fabrication is based on two principles: the use of short, sub-picosecond pulses and simultaneous tight focusing (numerical aperture, NA>1). This approach creates a unique opportunity for avoiding self-focusing even at very high intensities, as the power is lower than the self-focusing threshold. Under such conditions, no filament formation takes place and no thermal convection disturbance occurs in the focal vicinity during photo-polymerization. This technique requires no sacrificial layers or structures in real 3D micro-stereo-lithography.

Patent
17 Jul 2001
TL;DR: In this article, an automatic fluid dispensing method and system for dispensing fluid on the surface of a plate-like material, or substrate, including a semiconductor wafer for imprint lithography processes is presented.
Abstract: Disclosed herein is an automatic fluid dispensing method and system for dispensing fluid on the surface of a plate-like material, or substrate, including a semiconductor wafer for imprint lithography processes. The dispensing method uses fluid dispenser and a substrate stage that may generate relative lateral motions between a fluid dispenser tip a substrate. Also described herein are methods and devices for creating a planar surface on a substrate using a substantially unpatterned planar template.

Journal ArticleDOI
TL;DR: A systematic approach to Heisenberg-limited lithographic image formation using four-mode reciprocal binomial states by controlling the exposure pattern with a simple bank of birefringent plates, which shows any pixel pattern on a (N+1) x (N-1) grid can be generated from a 2N-photon state.
Abstract: We demonstrate a systematic approach to Heisenberg-Limited lithographic image formation using four-mode reciprocal binomial states. By controlling the exposure pattern with a simple bank of birefri ...


PatentDOI
16 Jul 2001
TL;DR: A method of determining and correcting alignment during imprint lithography process is described in this article, where the template may be aligned with the substrate by the use of alignment marks disposed on both the template and substrate.
Abstract: A method of determining and correcting alignment during imprint lithography process is described. During an imprint lithographic process the template may be aligned with the substrate by the use of alignment marks disposed on both the template and substrate. The alignment may be determined and corrected for before the layer is processed.

Journal ArticleDOI
TL;DR: In this paper, the same authors combined soft lithography with atomic layer epitaxy (ALE) to produce high resolution ZnO patterns with 1.0-40 μm feature sizes.
Abstract: Templated ZnO thin-film growth from the vapor phase is achieved on docosyltrichloro- silane-patterned Si substrates using atomic layer epitaxy (ALE) combined with soft lithography. Patterned hydrophobic self-assembled monolayers (SAMs) are first transferred to single-crystal Si surfaces by hot microcontact printing. Using diethylzinc and water as ALE precursors, crystalline ZnO layers are then grown selectively on the SAM-free surface regions where native hydroxy groups nucleate growth from the vapor phase. High-resolution ZnO patterns with 1.0–40 μm feature sizes are readily achieved, demonstrating that soft lithography combined with ALE is a simple and promising methodology for selective area in situ vapor phase fabrication of patterned oxide thin films.

Journal ArticleDOI
TL;DR: In this article, a multilevel nano-imprint lithography (NIL) with submicron alignment over an entire 4 in Si wafer can be achieved Average alignment accuracy of 1 μm with a standard deviation of 4 µm in both X and Y directions.
Abstract: We demonstrate that multilevel nanoimprint lithography (NIL) with submicron alignment over an entire 4 in Si wafer can be achieved Average alignment accuracy of 1 μm with a standard deviation 04 μm in both X and Y directions was obtained in ten consecutive tests of multilevel NIL The multilevel alignment was achieved by aligning the wafer and the mask with an aligner, fixing them with a holder, and imprinting in an imprint machine The issues that are critical to the alignment accuracy, such as relative movement during the press, relative thermal expansion, wafer bending, and resist, are discussed The alignment accuracy currently achieved on the system is limited by the aligning accuracy of the aligner, instead of the process of multilevel NIL