scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2020"


Reference BookDOI
Bruce W. Smith, Kazuaki Suzuki1
01 May 2020
TL;DR: In this article, the authors present an overview of optical steppers and scanners for advanced micro-lithography systems and their applications in critical-dimensional metrology for integrated-circuit technology.
Abstract: EXPOSURE SYSTEM System Overview of Optical Steppers and Scanners Michael S. Hibbs Optical Lithography Modeling Chris A. Mack Optics for Photolithography Bruce W. Smith Excimer Laser for Advanced Microlithography Palash Das Alignment and Overlay Gregg M. Gallatin Electron Beam Lithography System Kazuaki Suzuki X-Ray Lithography Takumi Ueno EUV Lithography Stefan Wurm and Charles Gwyn Imprint Lithography Douglas J. Resnick RESISTS AND PROCESSING Chemistry of Photoresist Materials Takumi Ueno and Robert D. Allen Resist Processing Bruce W. Smith Multilayer Resist Technology Bruce W. Smith and Maureen Hanratty Dry Etching of Photoresists Roderick R. Kunz METROLOGY AND NANOLITHOGRAPHY Critical-Dimensional Metrology for Integrated-Circuit Technology Herschel M. Marchman, Gian Lorusso, Mike Adel, and Sanjay Yedur Electron Beam Nanolithography Elizabeth A. Dobisz, Zvonimir Z. Bandic, and Martin C. Peckerar Index

219 citations


Journal ArticleDOI
TL;DR: These last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.
Abstract: The need for decreasing semiconductor device critical dimensions at feature sizes below the 20 nm resolution limit has led the semiconductor industry to adopt extreme ultra violet (EUV) lithography with exposure at 13.5 nm as the main next generation lithographic technology. The broad consensus on this direction has triggered a dramatic increase of interest on resist materials of high sensitivity especially designed for use in the EUV spectral region in order to meet the strict requirements needed for overcoming the source brightness issues and securing the cost efficiency of the technology. To this direction both fundamental studies on the radiation induced chemistry in this spectral area and a plethora of new ideas targeting at the design of new highly sensitive and top performing resists have been proposed. Besides the traditional areas of acid-catalyzed chemically amplified resists and the resists based on polymer backbone breaking new unconventional ideas have been proposed based on the insertion of metal compounds or compounds of other highly absorbing at EUV atoms in the resist formulations. These last developments are reviewed here. Since the effort targets to a new understanding of electron-induced chemical reactions that dominate the resist performance in this region these last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.

60 citations


Journal ArticleDOI
TL;DR: A review of the role of NIL in photovoltaics and water filtration can be found in this article, where the authors illustrate the breadth of its impacts.

59 citations


Journal ArticleDOI
Chaoyun Luo1, Chanchan Xu1, Le Lv1, Hai Li1, Xiaoxi Huang1, Wei Liu1 
TL;DR: In this paper, a review of inorganic resistors for extreme ultraviolet radiation (EUV) lithography is presented, focusing on metal oxide resistors with high resolution and sensitivity for EUV lithography.
Abstract: The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking of features has to a large extent been enabled by the development of advanced photolithographic techniques. This review focuses on one important component of lithography, the resist, which is essentially a thin film that can generate a specific feature after an exposure and development process. Smaller features require an even more precisely focused photon, electron or ion beam with which to expose the resist. The promising light source for next generation lithography that will enable downscaling patterns to be written is extreme ultraviolet radiation (EUV), 92 eV (13.5 nm). The review mainly focuses on inorganic resists, as they have several advantages compared with traditional organic resists. In order to satisfy the throughput requirement in high volume semiconductor manufacturing, metal oxide resists with high resolution and sensitivity have been proposed and developed for EUV lithography. The progress of various inorganic resists is introduced and their properties have been summarized.

57 citations


Journal ArticleDOI
TL;DR: This paper addresses the problems of edge bead formation when using thick resist on small samples, sample damage during lithography mask touchdown, resist reticulation during prolonged argon-based inductively coupled plasma reactive ion etching (ICP-RIE), and redeposited material on the feature sidewalls.
Abstract: KY(WO4)2 is a promising material for on-chip laser sources. Deep etching of small KY(WO4)2 samples in combination with various thin film deposition techniques is desirable for the manufacturing of such devices. There are, however, several difficulties that need to be overcome before deep etching of KY(WO4)2 can be realized in small samples in a reproducible manner. In this paper, we address the problems of (i) edge bead formation when using thick resist on small samples, (ii) sample damage during lithography mask touchdown, (iii) resist reticulation during prolonged argon-based inductively coupled plasma reactive ion etching (ICP-RIE), and (iv) redeposited material on the feature sidewalls. We demonstrate the etching of 6.5 µm deep features and the removal of redeposited material using a wet etch procedure. This process will enable the realization of waveguides both in ion-irradiated KY(WO4)2 as well as thin KY(WO4)2 membranes transferred onto glass substrate by bonding and subsequent polishing.

35 citations


Journal ArticleDOI
06 Mar 2020-ACS Nano
TL;DR: This work demonstrates the successful fabrication of atomic-scale structures of arsenic-in-silicon using silicon molecular beam epitaxy, and finds electrical characteristics that are competitive with equivalent structures fabricated with phosphorus.
Abstract: Over the past two decades, prototype devices for future classical and quantum computing technologies have been fabricated by using scanning tunneling microscopy and hydrogen resist lithography to position phosphorus atoms in silicon with atomic-scale precision. Despite these successes, phosphine remains the only donor precursor molecule to have been demonstrated as compatible with the hydrogen resist lithography technique. The potential benefits of atomic-scale placement of alternative dopant species have, until now, remained unexplored. In this work, we demonstrate the successful fabrication of atomic-scale structures of arsenic-in-silicon. Using a scanning tunneling microscope tip, we pattern a monolayer hydrogen mask to selectively place arsenic atoms on the Si(001) surface using arsine as the precursor molecule. We fully elucidate the surface chemistry and reaction pathways of arsine on Si(001), revealing significant differences to phosphine. We explain how these differences result in enhanced surface immobilization and in-plane confinement of arsenic compared to phosphorus, and a dose-rate independent arsenic saturation density of 0.24 ± 0.04 monolayers. We demonstrate the successful encapsulation of arsenic delta-layers using silicon molecular beam epitaxy, and find electrical characteristics that are competitive with equivalent structures fabricated with phosphorus. Arsenic delta-layers are also found to offer confinement as good as similarly prepared phosphorus layers, while still retaining >80% carrier activation and sheet resistances of <2 kΩ/square. These excellent characteristics of arsenic represent opportunities to enhance existing capabilities of atomic-scale fabrication of dopant structures in silicon, and may be important for three-dimensional devices, where vertical control of the position of device components is critical.

35 citations


Journal ArticleDOI
TL;DR: A simplified reaction model is proposed where the resist undergoes sequential chemical reactions, first yielding a sparsely cross-linked network, then a more densely cross- linked network, and this model is consistent with the observed EUV-sensitivity of tin-oxo cages.
Abstract: Extreme ultraviolet (EUV) lithography (13.5 nm) is the newest technology that allows high-throughput fabrication of electronic circuitry in the sub-20 nm scale. It is commonly assumed that low-ener...

34 citations


Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate the fabrication of optical elements on the millimeter scale by stitching-free 3D printing via two-photon polymerization, using a commercial microfabrication system (Nanoscribe GmbH).
Abstract: We demonstrate the fabrication of optical elements on the millimeter scale by stitching-free 3D printing via two-photon polymerization, using a commercial microfabrication system (Nanoscribe GmbH). Previous limitations are overcome by the use of a large writing field objective as well as a novel high transparency resist. The printed optical components are free of stitching defects due to a single step exposure and exhibit an unpreceded glass-like appearance due to the low absorption of the resist material throughout the entire visible wavelength range. We print aspherical focusing lenses, characterize and optimize their shape fidelity, and find their optical performance close to the simulated optimum. For comparison with commercially available glass lenses we also fabricate spherical half-ball lenses of different sizes. The imaging quality of the lenses is very similar, underpinning the powerfulness of our fabrication strategy.

34 citations


Journal ArticleDOI
27 Jul 2020
TL;DR: In this article, a broadband electrostatic force microscopy was used for non-destructive carrier profiling of atomically thin n-type and p-type (boron) dopant layers in silicon and their resulting p-n junctions.
Abstract: Integrated circuits and certain silicon-based quantum devices require the precise positioning of dopant nanostructures, and hydrogen resist lithography can be used to fabricate such structures at the atomic-scale limit. However, there is no single technique capable of measuring the three-dimensional location and electrical characteristics of these dopant nanostructures, as well as the charge dynamics of carriers and trapped charges in their vicinity. Here, we show that broadband electrostatic force microscopy can be used for non-destructive carrier profiling of atomically thin n-type (phosphorus) and p-type (boron) dopant layers in silicon, and their resulting p–n junctions. The probe has a lateral resolution of 10 nm and a vertical resolution of 0.5 nm, and detects the capacitive signature of subsurface charges in a broad 1 kHz to 10 GHz frequency range. This allows the bias-dependent charge dynamics of free electrons in conducting channels and trapped charges in oxide–silicon interfaces to be investigated. Broadband electrostatic force microscopy can be used to non-destructively image n-type and p-type dopant layers in silicon devices with a lateral resolution of 10 nm and a vertical resolution of 0.5 nm.

27 citations


Journal ArticleDOI
TL;DR: The Ni-MOCs based resist investigated under HIBL and EBL elucidates the ability of its potential for sub-10 nm technology node, under standard processing conditions.
Abstract: Hybrid metal–organic cluster resist materials, also termed as organo-inorganics, demonstrate their potential for use in next-generation lithography owing to their ability for patterning down to ∼10...

27 citations


Journal ArticleDOI
TL;DR: A streamlined and ecofriendly approach to implement e-beam patterning on arbitrary shaped substrates, exemplified by solvent-free nanofabrication on optical fibers, which provides a fresh perspective in EBL-based processing.
Abstract: Advances in electron-beam lithography (EBL) have fostered the prominent development of functional micro/nanodevices. Nonetheless, traditional EBL is predominantly applicable to large-area planar substrates and often suffers from chemical contamination and complex processes for handling resists. This paper reports a streamlined and ecofriendly approach to implement e-beam patterning on arbitrary shaped substrates, exemplified by solvent-free nanofabrication on optical fibers. The procedure starts with the vapor deposition of water ice as an electron resist and ends in the sublimation of the ice followed by a "blow-off" process. Without damage and contamination from chemical solvents, delicate nanostructures and quasi-3D structures are easily created. A refractive index sensor is further demonstrated by decorating plasmonic nanodisk arrays on the end face of a single-mode fiber. Our study provides a fresh perspective in EBL-based processing, and more exciting research exceeding the limits of traditional approaches is expected.

Journal ArticleDOI
TL;DR: The in- and outcoupling of an image through two blazed gratings appearing sharp and non-distorted in the environment is shown.
Abstract: In a waveguide-type display for augmented reality, the image is injected in the waveguide and extracted in front of the eye appearing superimposed on the real-world scene. An elegant and compact way of coupling these images in and out is by using blazed gratings, which can achieve high diffraction efficiencies. We report the design of blazed gratings for green light (λ = 543 nm) and a diffraction angle of 43°. The blazed gratings with a pitch of 508 nm and a fill factor of 0.66 are fabricated using grayscale electron beam lithography. We outline the subsequent replication in a polymer waveguide material with ultraviolet nanoimprint lithography and confirm a throughput efficiency of 17.4%. We finally show the in- and outcoupling of an image through two blazed gratings appearing sharp and non-distorted in the environment.

Journal ArticleDOI
TL;DR: In this paper, a flat silver film decorated with 90"nm-thick resist nanostructures of low aspect-ratio feature (0.25-0.55) was used to achieve a color gamut comparable to that of UHDTV in the chromaticity diagram.

Journal ArticleDOI
03 Aug 2020-ACS Nano
TL;DR: The high-fidelity nanocube patterning combined with the previously demonstrated epitaxial overgrowth can enable curved (single) crystals from solution at room temperature or highly efficient transparent conductors.
Abstract: In recent years, imprint lithography has emerged as a promising patterning technique capable of high-speed and volume production. In this work, we report highly reproducible one-step printing of metal nanocubes. A dried film of monocrystalline silver cubes serves as the resist, and a soft polydimethylsiloxane stamp directly imprints the final pattern. The use of atomically smooth and sharp faceted nanocubes facilitates the printing of high-resolution and well-defined patterns with face-to-face alignment between adjacent cubes. It also permits digital control over the line width of patterns such as straight lines, curves, and complex junctions over an area of several square millimeters. Single-particle lattices as well as three-dimensional nanopatterns are also demonstrated with an aspect ratio up to 5 in the vertical direction. The high-fidelity nanocube patterning combined with the previously demonstrated epitaxial overgrowth can enable curved (single) crystals from solution at room temperature or highly efficient transparent conductors.

Journal ArticleDOI
TL;DR: In this article, the sensitivity to extreme-ultraviolet (EUV) radiation of zirconium oxo clusters with methacrylate ligands is substantially enhanced when a small fraction of the ligands are replaced by trifluoromethylacrylates.
Abstract: Organic–inorganic hybrid compounds are arising as promising resist materials for extreme-ultraviolet (EUV) lithography, a new technique introduced in the semiconductor industry for the fabrication of integrated circuits of sub-10 nm feature size. In this work, we show that the sensitivity to EUV radiation of zirconium oxo clusters with methacrylate ligands is substantially enhanced when a small fraction of the ligands are replaced by trifluoromethylacrylates. We studied the details of the chemical changes that occur in thin films of the precursor and the partially fluorinated materials using scanning transmission X-ray microscopy (STXM) and X-ray photoelectron spectroscopy (XPS). Evidence is presented for radical chain polymerization as a mechanism for the solubility switch. Yet, XPS results also indicate that Zr–F bonds are formed during the exposure to EUV light in the fluorinated material, dissociative electron attachment being likely involved. Our observations show that, while fluorinated ligands enhance EUV absorption, their effect on the reactivity of the material might be more critical, thereby contributing to an increase in the sensitivity of the resist in both ways.

Journal ArticleDOI
TL;DR: S spatially controlled formation of nanocones on a silicon surface with a positional precision of 50 nm is demonstrated using femtosecond laser ablation comprising a superposition of optical vector vortex and Gaussian beams.
Abstract: Engineering material properties is key for development of smart materials and next generation nanodevices. This requires nanoscale spatial precision and control to fabricate structures/defects. Lithographic techniques are widely used for nanostructuring in which a geometric pattern on a mask is transferred to a resist by photons or charged particles and subsequently engraved on the substrate. However, direct mask-less fabrication has only been possible with electron and ion beams. That is because light has an inherent disadvantage; the diffraction limit makes it difficult to interact with matter on dimensions smaller than the wavelength of light. Here we demonstrate spatially controlled formation of nanocones on a silicon surface with a positional precision of 50 nm using femtosecond laser ablation comprising a superposition of optical vector vortex and Gaussian beams. Such control and precision opens new opportunities for nano-printing of materials using techniques such as laser-induced forward transfer and in general broadens the scope of laser processing of materials.

Journal ArticleDOI
06 Apr 2020
TL;DR: In this paper, the need for a next-generation technology node in the area of integrated circuits (ICs), improvement in the properties of resist materials, particularly sensitivity (ED), resolution, and good etc.
Abstract: Given the need for a next-generation technology node in the area of integrated circuits (ICs), improvement in the properties of resist materials, particularly sensitivity (ED), resolution, good etc...

Journal ArticleDOI
TL;DR: In this article, a fine-tunable phase change material, C-Sb2Te3 (CST), is used as a negative heat-mode resist for the first time.

Journal ArticleDOI
TL;DR: In this article, free-standing silicon shadow masks fabricated from silicon-on-insulator wafers were used for nanofabrication of 3D transmon superconducting qubits.
Abstract: Nanofabrication techniques for superconducting qubits rely on resist-based masks patterned by electron-beam or optical lithography. We have developed an alternative nanofabrication technique based on free-standing silicon shadow masks fabricated from silicon-on-insulator wafers. These silicon shadow masks not only eliminate organic residues associated with resist-based lithography, but also provide a pathway to better understand and control surface-dielectric losses in superconducting qubits by decoupling mask fabrication from substrate preparation. We have successfully fabricated aluminum 3D transmon superconducting qubits with these shadow masks and found coherence quality factors comparable to those fabricated with standard techniques.

Journal ArticleDOI
TL;DR: In this paper, the utility of polymethyl methacrylate (PMMA) as a resist material for several types of lithographic processes is discussed, including the use of resist reflow for three-dimensional structure fabrication and tone switching with change in UV exposure dose.

Journal ArticleDOI
TL;DR: The sheet resistance of the Cu micron network flexible films obtained by this work is 2.0 Ω/sq with the transmittance of ~86%, and almost unchanged in the peeling strength of 4.0 MPa as discussed by the authors.


Journal ArticleDOI
TL;DR: In this article, the authors used a trilevel resist process to increase the uniformity of the array of dots used as the etching mask for forming the silicon tips, which is an effective alternative to increasing the resistance of a current limiter.
Abstract: The authors report silicon field emitter arrays (FEAs) that were fabricated using a trilevel resist process and are highly uniform. The authors explored the current sensitivity of FEAs to tip radius variation using different tip radius distributions and show that reducing the tip radius dispersion is an effective alternative to increasing the resistance of a current limiter for achieving uniform emission current. In order to reduce the tip radius dispersion, the authors use a trilevel resist process to increase the uniformity of the array of dots used as the etching mask for forming the silicon tips. SEM images show that they were able to reduce the standard deviation of the dot diameter by 60% using a trilevel resist process instead of a single layer resist process. Device characterization showed that the FEAs have a very narrow range of slopes, b FN, extracted from the Fowler–Nordheim plot, indicating that the field emitters within the FEA are highly uniform.The authors report silicon field emitter arrays (FEAs) that were fabricated using a trilevel resist process and are highly uniform. The authors explored the current sensitivity of FEAs to tip radius variation using different tip radius distributions and show that reducing the tip radius dispersion is an effective alternative to increasing the resistance of a current limiter for achieving uniform emission current. In order to reduce the tip radius dispersion, the authors use a trilevel resist process to increase the uniformity of the array of dots used as the etching mask for forming the silicon tips. SEM images show that they were able to reduce the standard deviation of the dot diameter by 60% using a trilevel resist process instead of a single layer resist process. Device characterization showed that the FEAs have a very narrow range of slopes, b FN, extracted from the Fowler–Nordheim plot, indicating that the field emitters within the FEA are highly uniform.

Journal ArticleDOI
TL;DR: In this paper, a mold for a reflection grating with a periodicity of 400 nm and grooves resembling an asymmetric sawtooth was patterned in 130 nm thick poly(methyl methacrylate) resist on a silicon substrate over a 50 mm by 7.5 mm area.
Abstract: Future observatories utilizing reflection grating spectrometers for extreme ultraviolet (EUV) and soft X-ray (SXR) spectroscopy require high-fidelity gratings with both blazed groove facets and custom groove layouts that are often fanned or feature a slight curvature. While fabrication procedures centering on wet anisotropic etching in monocrystalline silicon produce highly efficient blazed gratings, the precision of a nonparallel groove layout is limited by the cubic structure of the silicon crystal. This motivates the pursuit of alternative techniques to grating manufacture, namely thermally activated selective topography equilibration (TASTE), which uses gray-scale electron-beam lithography to pattern multilevel structures in resist followed by an optimized polymer thermal reflow to smooth the 3D patterns into continuous surface relief profiles. Using TASTE, a mold for a reflection grating with a periodicity of 400 nm and grooves resembling an asymmetric sawtooth was patterned in 130 nm thick poly(methyl methacrylate) resist on a silicon substrate over a 50 mm by 7.5 mm area. This structure was coated with 15 nm of gold by electron-beam physical vapor deposition using titanium as an adhesion layer and then tested for EUV and SXR diffraction efficiency at beamline 6.3.2 of the Advanced Light Source synchrotron facility. Results demonstrate a quasi-blaze response characteristic of a 27 degree blaze angle with groove facets smooth to 1.5 nm rms. Absolute peak-order efficiency ranges from 75% to 25%, while total relative efficiency measures gap90% across the measured bandpass of 15.5 nm > lambda > 1.55 nm.

Journal ArticleDOI
24 Nov 2020
TL;DR: In this paper, the fabrication of micro-/nanoelectronic devices are marching toward ultralow node technology with dense patterns to meet the current industry demands, continuous advancement is needed in ter...
Abstract: Since the fabrication of micro-/nanoelectronic devices are marching toward ultralow node technology with dense patterns to meet the current industry demands, continuous advancement is needed in ter...

Journal ArticleDOI
TL;DR: It is demonstrated that polyvinyl alcohol (PVA), a popular polymeric hydrogel, can function as the negative-tone resist for electron beam lithography (EBL) with the resolution capability as narrow as 50 nm half-pitch.
Abstract: A high-resolution nanopatterning technique is desirable with the present rapid development of hydrogel nanodevices Here, we demonstrate that polyvinyl alcohol (PVA), a popular polymeric hydrogel, can function as the negative-tone resist for electron beam lithography (EBL) with a resolution capability as narrow as 50 nm half-pitch Furthermore, the hydrophilic groups of PVA are stable after EBL exposure, and thus the pattern still shows rapid responsivity to humidity change An aqueous nanopatterning process including dissolution, spin-coating and development is setup, which is friendly for organic device fabrication free of organic solvent This high-resolution nanopatterning technique with PVA is helpful for the design and realization of hydrogel-related nanodevices in the future

Journal ArticleDOI
06 Jan 2020
TL;DR: By expanding the scope of the surfaces compatible with CLL, CLL is advanced and generalized as a method to pattern a wide range of substrates, as well as to produce supported metal monolayers, both with broad applications in surface and materials science.
Abstract: Chemical lift-off lithography (CLL) is a subtractive soft-lithographic technique that uses polydimethylsiloxane (PDMS) stamps to pattern self-assembled monolayers of functional molecules for applications ranging from biomolecule patterning to transistor fabrication. A hallmark of CLL is preferential cleavage of Au-Au bonds, as opposed to bonds connecting the molecular layer to the substrate, i.e., Au-S bonds. Herein, we show that CLL can be used more broadly as a technique to pattern a variety of substrates composed of coinage metals (Pt, Pd, Ag, Cu), transition and reactive metals (Ni, Ti, Al), and a semiconductor (Ge) using straightforward alkanethiolate self-assembly chemistry. We demonstrate high-fidelity patterning in terms of precise features over large areas on all surfaces investigated. We use patterned monolayers as chemical resists for wet etching to generate metal microstructures. Substrate atoms, along with alkanethiolates, were removed as a result of lift-off, as previously observed for Au. We demonstrate the formation of PDMS-stamp-supported bimetallic monolayers by performing CLL on two different metal surfaces using the same PDMS stamp. By expanding the scope of the surfaces compatible with CLL, we advance and generalize CLL as a method to pattern a wide range of substrates, as well as to produce supported metal monolayers, both with broad applications in surface and materials science.

Journal ArticleDOI
TL;DR: Dose curves reveal that anisole has a very low contrast of ∼1, with a very weak dependence on primary beam energy in the investigated range of 5-20 keV, and nanoscale patterns on exotic silicon micropillars with high aspect ratio are Fabricated using this e-beam resist.
Abstract: The emerging ice lithography (IL) nanofabrication technology differs from conventional electron-beam lithography by working at cryogenic temperatures and using vapor-deposited organic molecules, such as solid water and alkanes, as e-beam resists. In this paper, we systematically investigate e-beam patterning of frozen anisole and assess its performance as an e-beam resist in IL. Dose curves reveal that anisole has a very low contrast of ∼1, with a very weak dependence on primary beam energy in the investigated range of 5–20 keV. The minimum line width of 60 nm is attainable at 20 keV, limited by stage vibration in our apparatus. Notably, various solid states of anisole have been observed and we can control the deposited anisole from crystalline to amorphous state by decreasing the deposition temperature. The critical temperature for forming an amorphous film is 130 K in the vacuum of a microscope chamber. Smooth patterns with a surface roughness of ∼0.7 nm are achieved in the as-deposited amorphous solid ...

Journal ArticleDOI
TL;DR: In this article, the authors reported the realization of STM-based lithography with silicon layers removal on the chlorinated Si(1.0.0)-2.×.1 surface at 77 K.

Journal ArticleDOI
TL;DR: In this paper, a mix-and-match process in combination with cryogenic etching in order to fabricate templates for nanoimprint lithography (NIL) is presented.