scispace - formally typeset
Search or ask a question

Showing papers on "Metal gate published in 2015"


Journal ArticleDOI
TL;DR: In this article, a review of the high-K gate stack is presented, including the choice of oxides, their deposition, their structural and metallurgical behaviour, atomic diffusion, interface structure, their electronic structure, band offsets, electronic defects, charge trapping and conduction mechanisms, reliability, mobility degradation and oxygen scavenging.
Abstract: The scaling of complementary metal oxide semiconductor (CMOS) transistors has led to the silicon dioxide layer used as a gate dielectric becoming so thin that the gate leakage current becomes too large. This led to the replacement of SiO2 by a physically thicker layer of a higher dielectric constant or ‘high-K’ oxide such as hafnium oxide. Intensive research was carried out to develop these oxides into high quality electronic materials. In addition, the incorporation of Ge in the CMOS transistor structure has been employed to enable higher carrier mobility and performance. This review covers both scientific and technological issues related to the high-K gate stack – the choice of oxides, their deposition, their structural and metallurgical behaviour, atomic diffusion, interface structure, their electronic structure, band offsets, electronic defects, charge trapping and conduction mechanisms, reliability, mobility degradation and oxygen scavenging to achieve the thinnest oxide thicknesses. The high K oxides were implemented in conjunction with a replacement of polycrystalline Si gate electrodes with metal gates. The strong metallurgical interactions between the gate electrodes and the HfO2 which resulted an unstable gate threshold voltage resulted in the use of the lower temperature ‘gate last’ process flow, in addition to the standard ‘gate first’ approach. Work function control by metal gate electrodes and by oxide dipole layers is discussed. The problems associated with high K oxides on Ge channels are also discussed.

512 citations


Patent
13 Nov 2015
TL;DR: In this paper, a method for fabricating a semiconductor device includes forming a first fin and a second fin on a substrate and forming a metal-gate line over the first and second gate regions.
Abstract: A method for fabricating a semiconductor device includes forming a first fin and a second fin on a substrate. The first fin has a first gate region and the second fin has a second gate region. The method also includes forming a metal-gate line over the first and second gate regions. The metal-gate line extends from the first fin to the second fin. The method also includes applying a line-cut to separate the metal-gate line into a first sub-metal gate line and a second sub-metal gate line and forming an isolation region within the line cut.

421 citations


Journal ArticleDOI
TL;DR: Negative capacitance, originating from the dynamics of the stored energy in a phase transition of a ferroelectric material, can achieve the step-up conversion of internal voltage in a metal-oxide-semiconductor device by taking advantage of negative capacitance in a MOS gate stack.
Abstract: Because of the “Boltzmann tyranny” (i.e., the nonscalability of thermal voltage), a certain minimum gate voltage in metal–oxide–semiconductor (MOS) devices is required for a 10-fold increase in drain-to-source current. The subthreshold slope (SS) in MOS devices is, at best, 60 mV/decade at 300 K. Negative capacitance in organic/ferroelectric materials is proposed in order to address this physical limitation in MOS technology. Here, we experimentally demonstrate the steep switching behavior of a MOS device—that is, SS ∼ 18 mV/decade (much less than 60 mV/decade) at 300 K—by taking advantage of negative capacitance in a MOS gate stack. This negative capacitance, originating from the dynamics of the stored energy in a phase transition of a ferroelectric material, can achieve the step-up conversion of internal voltage (i.e., internal voltage amplification in a MOS device). With the aid of a series-connected negative capacitor as an assistive device, the surface potential in the MOS device becomes higher than ...

151 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report on radiation-induced soft error rate (SER) improvements in the 14-nm second generation high-k + metal gate bulk tri-gate technology.
Abstract: We report on radiation-induced soft error rate (SER) improvements in the 14-nm second generation high- k + metal gate bulk tri-gate technology. Upset rates of memory cells, sequential elements, and combinational logic were investigated for terrestrial radiation environments, including thermal and high-energy neutrons, high-energy protons, and alpha-particles. SER improvements up to ~ 23× with respect to devices manufactured in a 32-nm planar technology are observed. The improvements are particularly pronounced in logic devices, where aggressive fin depopulation combined with scaling of relevant fin parameters results in a ~ 8× reduction of upset rates relative to the first-generation tri-gate technology.

91 citations


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a Si-nanowire MOSFET to suppress the off-leakage current between source and drain, and found that the multi-gate structures have a future big problem of significant conduction reduction with decrease in fin width.
Abstract: Although Si MOS devices have dominated the integrated circuit applications over the four decades, it has been anticipated that the development of CMOS would reach its limits after the next decade because of the difficulties in the technologies for further downscaling and also because of some fundamental limits of MOSFETs. However, there have been no promising candidates yet, which can replace Si MOSFETs with better performance with low cost. Thus, for the moment, it seems that we have to stick to the Si MOSFET devices until their end. The downsizing is limited by the increase of off-leakage current between source and drain. In order to suppress the off-leakage current, multi-gate structures (FinFET, Tri-gate, and Si-nanowire MOSFETs) are replacing conventional planar MOSFETs, and continuous innovation of high-k/metal gate technologies has enabled EOT scaling down to 0.9 nm in production. However, it was found that the multi-gate structures have a future big problem of significant conduction reduction with decrease in fin width. Also it is not easy to further decrease EOT because of the mobility and reliability degradation. Furthermore, the development of EUV (Extremely Ultra-Violet) lithography, which is supposed to be essential for sub-10 nm lithography, delays significantly because of insufficient illumination intensity for production. Thus, it is now expected that the reduction rate of the gate length, which has a strong influence on the off-leakage current, will become slower in near future.

90 citations


Patent
18 Jun 2015
TL;DR: In this article, the authors describe a SGT production method that includes a step of forming first and second fin-shaped silicon layers, forming a first insulating film, and forming first-and second pillar-shape silicon layers.
Abstract: A SGT production method includes a step of forming first and second fin-shaped silicon layers, forming a first insulating film, and forming first and second pillar-shaped silicon layers; a step of forming diffusion layers by implanting an impurity into upper portions of the first and second pillar-shaped silicon layers, upper portions of the first and second fin-shaped silicon layers, and lower portions of the first and second pillar-shaped silicon layers; a step of forming a gate insulating film and first and second polysilicon gate electrodes; a step of forming a silicide in upper portions of the diffusion layers formed in the upper portions of the first and second fin-shaped silicon layers; and a step of depositing an interlayer insulating film, exposing and etching the first and second polysilicon gate electrodes, then depositing a metal, and forming first and second metal gate electrodes.

89 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report the potential benefits of dopingless double-gate field effect transistor (DL-DGFET) designed on ultrathin silicon on insulator film for low power applications.
Abstract: In this paper, we report the potential benefits of dopingless double-gate field-effect transistor (DL-DGFET) designed on ultrathin silicon on insulator film for low power applications. The simulation results show that the proposed device exhibits higher ON current and less sensitivity toward device parameter variation compared with highly doped junctionless (JL) DGFET. The constraints of high metal gate workfunction of JL device are also relaxed using midgap materials as a gate electrode in the DL-DGFETs. Sensitivity analysis shows that the DL-DGFET exhibits least sensitivity to device parameter variation especially gate length due to suppression of short-channel effects. The DL-DGFET also shows lower static power dissipation in OFF state and lower intrinsic delay in ON state. The mixed-mode simulation of 6T-static random access memory cell using DL-DGFET shows impressive read and hold noise margins of 147 and 352 mV at $V_{\rm DD} = 0.8$ V for ultralow power applications. The possible fabrication process flow of DL-DGFET is also proposed.

81 citations


Patent
Kuo-Cheng Ching1, Ching-Wei Tsai1, Carlos H. Diaz1, Chih-Hao Wang1, Wai-Yi Lien1, Ying-Keung Leung1 
30 Jun 2015
TL;DR: A semiconductor includes a first transistor and a second transistor as mentioned in this paper, and a metal gate layer surrounding the first gate dielectric layer, formed of a first semiconductor material.
Abstract: A semiconductor includes a first transistor and a second transistor The first transistor includes a first and a second epitaxial layer, formed of a first semiconductor material The second epitaxial layer is disposed over the first epitaxial layer The first transistor also includes a first gate dielectric layer surrounds the first and second epitaxial layers and extends from a top surface of the first epitaxial layer to a bottom surface of the second epitaxial layer and a first metal gate layer surrounding the first gate dielectric layer The second transistor includes a third epitaxial layer formed of the first semiconductor material and a fourth epitaxial layer disposed directly on the third epitaxial layer and formed of a second semiconductor The second transistor also includes a second gate dielectric layer disposed over the third and fourth epitaxial layers and a second metal gate layer disposed over the second gate dielectric layer

80 citations


Patent
03 Jun 2015
TL;DR: In this article, a field effect transistor (FE transistor) is defined as a body layer having a strained crystalline semiconductor channel region, and a gate stack on the channel region.
Abstract: A field effect transistor includes a body layer having a strained crystalline semiconductor channel region, and a gate stack on the channel region. The gate stack includes a crystalline semiconductor gate layer that is lattice mismatched with the channel region, and a crystalline gate dielectric layer between the gate layer and the channel region. Related devices and fabrication methods are also discussed.

72 citations


Patent
Ruilong Xie1, Min Gyu Sung1, Ryan Ryoung-Han Kim1, Kwan-Yong Lim1, Chanro Park1 
31 Mar 2015
TL;DR: In this article, the authors proposed a method to construct sacrificial gate structures above a fin for two active gates and a dummy gate, and then etch through the cavity to form a trench in the fin under the cavity.
Abstract: One illustrative method disclosed herein includes forming first sacrificial gate structures above a fin for two active gates and a dummy gate, removing the first sacrificial gate structure for the dummy gate so as to define a cavity that exposes the fin while leaving the first sacrificial gate structures for the two active gates intact, etching through the cavity to form a trench in the fin under the cavity, forming a second sacrificial gate structure for the dummy gate, removing the first sacrificial gate structures for the two active gates and the second sacrificial gate structure for the dummy gate so as to define a replacement gate cavity for the two active gates and the dummy gate, and forming a replacement gate structure in each of the replacement gate cavities, wherein the replacement gate structure for the dummy gate extends into the trench in the fin.

67 citations


Journal ArticleDOI
TL;DR: In this article, the Si-doped HfO2 thin films have favorably improved ferroelectric properties on the p+Ge substrate due to the lack of a dielectric interfacial layer between HmO2 and Ge.
Abstract: Ferroelectric Si-doped HfO2 thin films are integrated into three different device stacks with a p+ Ge substrate, a p+ Si substrate, and a TaN bottom metal gate. The ferroelectric behavior of the Si-doped HfO2 thin films is strongly dependent on the bottom interfaces. The Si-doped HfO2 thin films have favorably improved ferroelectric properties on the p+ Ge substrate due to the lack of a dielectric interfacial layer between HfO2 and Ge. The low-voltage operation and cycling stability of Si-doped HfO2 ferroelectric thin films on Ge can lead to the realization of high performance, robust Ge ferroelectric field-effect transistors for nonvolatile memory applications.

Proceedings ArticleDOI
16 Feb 2015
TL;DR: In this article, a 10-nm high-K/metal gate gate-all-around silicon nanowire MOSFET devices using full-quantum-mechanically validated and experimentally calibrated device simulation are studied.
Abstract: In this work, process variation effect (PVE), work function fluctuation (WKF), and random dopant fluctuation (RDF) on 10-nm high-K/metal gate gate-all-around silicon nanowire MOSFET devices using full-quantum-mechanically validated and experimentally calibrated device simulation are studied. The small aspect ratio device has greater immunity of RDF, while suffers from PVE and WKF.

Patent
18 Sep 2015
TL;DR: In this article, the gate dielectric layer is maintained in an ambient effective manner to mitigate oxide growth between at least two sequential process steps used in the fabrication of the gate Dielectric structure.
Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.

Proceedings ArticleDOI
16 Jun 2015
TL;DR: In this article, Si-cap-free SiGe p-channel FinFETs and gate-all-around (GAA) FETs were used in a replacement metal gate (RMG) process, for Ge contents of 25% and 45%.
Abstract: We demonstrate Si-cap-free SiGe p-channel FinFETs and gate-all-around (GAA) FETs in a replacement metal gate (RMG) process, for Ge contents of 25% and 45%. We show that the performance of these devices is substantially improved by high-pressure (HP) deuterium (D 2 ) anneal, which is ascribed to a 2x reduction in interface trap density (D IT ). Furthermore, it is found that (1) TMAH treatment of SiGe prior to HK deposition and (2) HK post-deposition annealing (PDA) are beneficial for D IT reduction as well, and that NBTI reliability is improved by both HP D 2 anneal and TMAH treatment.

Proceedings ArticleDOI
16 Jun 2015
TL;DR: In this paper, the authors demonstrate a process flow for creating gate-all-around (GAA) Si nanowire (SiNW) MOSFETs with minimal deviation from conventional replacement metal gate (RMG) finFET technology as used in high-volume manufacturing.
Abstract: We demonstrate a process flow for creating gate-all-around (GAA) Si nanowire (SiNW) MOSFETs with minimal deviation from conventional replacement metal gate (RMG) finFET technology as used in high-volume manufacturing. Using this technique, we demonstrate the highest DC performance shown for GAA SiNW MOSFETs at sub-100 nm gate pitch, and functional high-speed ring oscillators.

Proceedings ArticleDOI
16 Jun 2015
TL;DR: In this paper, a comprehensive evaluation of different device architectures from a device and circuit performance viewpoint: gate-all-around (GAA) nanowire (NW) FETs vs. triple-gate finFETs, both built using various doping schemes.
Abstract: We report a comprehensive evaluation of different device architectures from a device and circuit performance viewpoint: gate-all-around (GAA) nanowire (NW) FETs vs. triple-gate finFETs, both built using various doping schemes. GAA devices are obtained via a fins release process, high density compatible, at replacement metal gate (RMG) module, and outperform others per footprint. Junctionless (JL) GAA-NWFETs with excellent electrostatics and smaller IOFF values yield ring oscillators (RO) with substantially lower power dissipation and considerably longer BTI lifetime. Improved reliability is also obtained for extensionless vs. reference FETs with conventional junctions, at comparable device and circuit performance. In addition, a TiAl-based EWF-metal is introduced for the first time in a GAA configuration resulting in higher performing, low-V T , n-type GAA-NWFETs and single-MG 6T-SRAM cells. Noise results show no significant impact of device architecture on gate stack integrity and some benefit for JL and TiAl-based GAA-NWFETs.

Journal ArticleDOI
TL;DR: Experimental capacitance-voltage characteristics are presented and the effect of the small bandgap, like strong response of minority carriers at applied field, are discussed via simulations.
Abstract: We present the epitaxial growth of Ge and Ge_(0.94)Sn_(0.06) layers with 1.4% and 0.4% tensile strain, respectively, by reduced pressure chemical vapor deposition on relaxed GeSn buffers and the formation of high-k/metal gate stacks thereon. Annealing experiments reveal that process temperatures are limited to 350°C to avoid Sn diffusion. Particular emphasis is placed on the electrical characterization of various high-k dielectrics, as 5nm Al_(2)O_(3), 5nm HfO_(2) or 1nm Al_(2)O_(3)/4nm HfO_(2), on strained Ge and strained Ge_(0.94)Sn_(0.06). Experimental capacitance-voltage characteristics are presented and the effect of the small bandgap, like strong response of minority carriers at applied field, are discussed via simulations.

Journal ArticleDOI
TL;DR: Based on BSIM4 parameters of 45nm metal gate/high-k CMOS process and Landau theory, gate and output characteristics of short channel ferroelectric MOSFET (FeFET) are evaluated to explore its optimal structure for low power circuit application.
Abstract: Based on BSIM4 parameters of 45 nm metal gate/high-k CMOS process and Landau theory, gate and output characteristics of short channel ferroelectric MOSFET (FeFET) are evaluated to explore its optimal structure for low power circuit application. Unlike previously reported simulation results of long channel FeFET, our work reveals that its current–voltage performance is quite susceptible to the parasitic capacitance between the gate and drain. As a consequence, there is a large threshold voltage increase with drain voltage and output characteristics hardly get saturated, indicating that short channel FeFET is not suitable for analog circuit applications. One effective way to address the issues is to minimize the gate-to-drain parasitic overlap and fringing field capacitances. With the tool Purdue Emerging Technology Evaluator, the inverter performance consisting of modified FeFETs is also simulated. Compared with intrinsic inverter, its energy consumption per cycle is much lower at any supply voltage VDD and the propagation delay is also smaller at very low VDD. Our work shows that the optimized FeFET structure, designed by mitigating gate-to-drain parasitic, is suitable for both analog and digital low power circuit designs.

Proceedings ArticleDOI
19 Apr 2015
TL;DR: It is shown that nFET and pFET time-dependent variability, in addition to the standard time-zero variability, can be fully characterized and projected using a series of measurements on a large test element group fabricated in an advanced High-k/Metal Gate (HK/MG) technology, thus allowing us to fully characterize the underlying technology.
Abstract: Here we show that nFET and pFET time-dependent variability, in addition to the standard time-zero variability, can be fully characterized and projected using a series of measurements on a large test element group (TEG) fabricated in an advanced High-k/Metal Gate (HK/MG) technology, thus allowing us to fully characterize the underlying technology. BTI is shown to follow a bimodal defect-centric behavior, for NBTI related to Interface Layer (IL)(SiO 2 ) and HK trapping and for PBTI related to HK and IL/HK interface trapping. Moreover for the first time, an analytical description of the bimodal total ΔV TH shift is derived, as a special case of the generalized defect-centric distribution, which we derive in this work to accurately describe the tail of the distribution.

Proceedings ArticleDOI
16 Jun 2015
TL;DR: In this paper, the authors integrated a strain relaxed SiGe p-channel FinFET on a high density 45nm Fin pitch using a replacement channel approach on Si substrate, and the I ON /I OFF benchmark showed that the high density strained Ge p-FinFETs in this work outperform the best published isolated strained Ge on SiGe devices.
Abstract: Strained Ge p-channel FinFETs on Strain Relaxed SiGe are integrated for the first time on high density 45nm Fin pitch using a replacement channel approach on Si substrate. In comparison to our previous work on isolated sGe FinFETs [1], 14/16nm technology node compatible modules such as replacement metal gate and germanide-free local interconnect were implemented. The I ON /I OFF benchmark shows the high density strained Ge p-FinFETs in this work outperform the best published isolated strained Ge on SiGe devices.

Proceedings ArticleDOI
01 Dec 2015
TL;DR: In this article, the authors demonstrate a scaled hybrid inverter built in a 3D Monolithic (3DM) CMOS process featuring short-channel replacement metal gate (RMG) InGaAs-OI wide-fin/planar nFET top layer and SiGe-oI fin pFET bottom layer.
Abstract: We demonstrate, for the first time, scaled hybrid inverters built in a 3D Monolithic (3DM) CMOS process featuring short-channel replacement metal gate (RMG) InGaAs-OI wide-fin/planar nFET top layer and SiGe-OI fin pFET bottom layer. We achieve state-of-the-art device integration, using raised source drain (RSD) on both levels and silicide on bottom pFETs. Bottom SiGe-OI pFETs are scaled down to sub-20 nm gate length (Lg) using a gate first (GF) flow, and top InGaAs nFETs scaled down to sub-50 nm Lg are fabricated using a RMG process. With an optimized thermal budget for the top InGaAs nFETs, we show that the 3D integration scheme does not degrade the performance of the bottom SiGe-OI pFETs. Finally, we demonstrate well-behaved integrated inverters with sub-50 nm Lg down to VDD = 0.25 V.

Journal ArticleDOI
TL;DR: In this article, a detailed discussion on the technology and materials issues on the preparation of sub-nanometer EOT gate dielectric film is presented. And possible scenarios for device structure and fabrication procedures for the ultimate nano-CMOS technology at the end of Moore's law is highlighted.

Journal ArticleDOI
TL;DR: In this paper, the authors developed a generalized model describing the device electrostatic behavior of three different double gate n-type tunnel FET (TFET) architectures, i.e., dual material gate (DMG) TFET, heterodielectric (H-D) FTET, and dual material-gated H-D TFET.
Abstract: This paper deals with the development of a generalized model describing the device electrostatic behavior of three different double gate n-type tunnel FET (TFET) architectures, i.e., dual material gate (DMG) TFET, heterodielectric (H-D) TFET, and dual material gate heterodielectric (DMG H-D). The model is advantageous in capturing the impact of dielectric and the metal gate length variation where a comparative study among these three aforementioned device architectures has been made in terms of various electrostatic parameters, such as surface potential, energy-band profile, and electric field, incorporating the impact of interface oxide charges. Subsequently, TCAD-based digital performance investigation for all these architectures has been performed where their capacitive behavior and the transient performance has been carefully analyzed and optimized by varying the metal work function ( $M_{1}$ ) and length ( $L_{1}$ ) value for both, i.e., the dielectric material and the metal gate. Both the modeling and simulation results reveal that the proposed architecture, i.e., DMG H-D TFET, outperforms the other two architectures, i.e., DMG and H-D TFET.

Patent
13 Apr 2015
TL;DR: In this article, a nonvolatile semiconductor memory device with good write/erase characteristics is provided, where a selection gate is formed on a p-type well of a semiconductor substrate via a gate insulator, and a memory gate is created on the p- type well via a laminated film composed of a silicon oxide film, a silicon nitride film, and an oxide film.
Abstract: A non-volatile semiconductor memory device with good write/erase characteristics is provided. A selection gate is formed on a p-type well of a semiconductor substrate via a gate insulator, and a memory gate is formed on the p-type well via a laminated film composed of a silicon oxide film, a silicon nitride film, and a silicon oxide film. The memory gate is adjacent to the selection gate via the laminated film. In the regions on both sides of the selection gate and the memory gate in the p-type well, n-type impurity diffusion layers serving as the source and drain are formed. The region controlled by the selection gate and the region controlled by the memory gate located in the channel region between said impurity diffusion layers have the different charge densities of the impurity from each other.

Patent
31 Mar 2015
TL;DR: In this article, a tunneling transistor is implemented in silicon, using a FinFET device architecture, which has a nonplanar, vertical, structure that extends out from the surface of a doped drain formed in a silicon substrate.
Abstract: A tunneling transistor is implemented in silicon, using a FinFET device architecture. The tunneling FinFET has a non-planar, vertical, structure that extends out from the surface of a doped drain formed in a silicon substrate. The vertical structure includes a lightly doped fin defined by a subtractive etch process, and a heavily-doped source formed on top of the fin by epitaxial growth. The drain and channel have similar polarity, which is opposite that of the source. A gate abuts the channel region, capacitively controlling current flow through the channel from opposite sides. Source, drain, and gate terminals are all electrically accessible via front side contacts formed after completion of the device. Fabrication of the tunneling FinFET is compatible with conventional CMOS manufacturing processes, including replacement metal gate and self-aligned contact processes. Low-power operation allows the tunneling FinFET to provide a high current density compared with conventional planar devices.

Patent
02 Sep 2015
TL;DR: In this article, a method and apparatus for integrating high voltage (HV) transistor devices and medium voltage or dual gate oxide (DGO) devices with low voltage (LV) core transistor devices on a single substrate is described.
Abstract: A method and apparatus are described for integrating high voltage (HV) transistor devices and medium voltage or dual gate oxide (DGO) transistor devices with low voltage (LV) core transistor devices on a single substrate, where each high voltage transistor device ( 160 ) includes a metal gate ( 124 ), an upper high-k gate dielectric layer ( 120 ), a middle gate dielectric layer ( 114 ) formed with a relatively lower high-k dual gate oxide layer, and a lower high voltage gate dielectric stack ( 108, 110 ) formed with one or more low-k gate oxide layers ( 22 ), where each DGO transistor device ( 161 ) includes a metal gate ( 124 ), an upper high-k gate dielectric layer ( 120 ), and a middle gate dielectric layer ( 114 ) formed with a relatively lower high-k dual gate oxide layer, and where each core transistor device ( 162 ) includes a metal gate ( 124 ), an upper high-k gate dielectric layer ( 120 ), and a base oxide layer ( 118 ) formed with one or more low-k gate oxide layers.

Proceedings ArticleDOI
15 Mar 2015
TL;DR: In this paper, the peak voltage over the external gate resistor of an IGBT or MOSFET during turn-on is measured, which is proportional to the peak gate current and fluctuates with temperature due to the temperature dependent resistance of the internal gate resistance.
Abstract: A new method for junction temperature measurement of MOS-gated power semiconductor switches is presented. The measurement method involves detecting the peak voltage over the external gate resistor of an IGBT or MOSFET during turn-on. This voltage is directly proportional to the peak gate current and fluctuates with temperature due to the temperature-dependent resistance of the internal gate resistance. A measurement circuit can be integrated into a gate driver with no disruption to converter operation. The method is immune to dependence on load current, and allows autonomous and high frequency measurements through a measurement circuit directly controlled via the gate signal.

Patent
19 Nov 2015
TL;DR: In this paper, the gate cut is performed post-RMG, where the gate stack integration is completed first, and then the gates are cut using a lithographically defined CT mask and selective etching of gate stack metals, and optically the gate dielectric.
Abstract: In a FinFET device, the gate cut is performed post-RMG. This allows PC-past-RX to be scaled to the thickness of the gate stack, thus reducing PC end parasitic capacitance and improving device performance. Specifically, the gate stack integration is completed first, and then the gates are cut using a lithographically-defined CT mask and selective etching of the gate stack metals, and optically the gate dielectric. The selective etch allows the cut to be located as close as possible to the fins without adversely affecting source and drain epitaxial doping layers, even when the cut opening overlaps with the epitaxial layers.

Proceedings ArticleDOI
10 May 2015
TL;DR: In this article, the authors presented a newly developed trench gate IGBT which utilizes the split gate structure to realize both low Miller capacitance and high Injection Enhancement (IE) effect, and the tradeoff relationship between the on-state voltage drop and the turn-off power dissipation has been improved by about 15% compared to the conventional IGBT.
Abstract: This paper presents a newly developed trench gate IGBT which utilizes the split gate structure. It can realize both low Miller capacitance and high Injection Enhancement (IE) effect. The Miller capacitance has been reduced to 1/10 compared to that of the general trench gate structure with floating p-base. As a result, the turn-on power dissipation has been reduced by about 10% under the same turn-on di/dt and high recovery dV/dt controllability has also been achieved because of its lower gate-collector coupling. The trade-off relationship between the on-state voltage drop and the turn-off power dissipation has been improved by about 15% compared to the conventional IGBT.

Patent
Sanghoon Baek1, sunyoung park1, Sang-Kyu Oh1, Hayoung Kim1, Do Jung-Ho1, Moo-Gyu Bae1, Lee Seung-Young1 
01 Oct 2015
TL;DR: In this article, a system on chip (SoC) includes a first gate line, a second gate line and a third gate line extending in a first direction, a gate isolation region cutting the first gate lines, the second gate lines and the third gate lines.
Abstract: Systems on chips are provided. A system on chip (SoC) includes a first gate line, a second gate line and a third gate line extending in a first direction, a gate isolation region cutting the first gate line, the second gate line and the third gate line and extending in a second direction across the first direction, a first gate contact formed on the second gate line arranged between the first gate line and the third gate line, and electrically connecting the cut second gate line, a second gate contact formed on the first gate line, a third gate contact formed on the third gate line, a first metal line electrically connecting the second gate contact and the third gate contact, and a second metal line electrically connected to the first gate contact.