scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2009"


Journal ArticleDOI
TL;DR: In this article, a maskless high-resolution patterning of structural colours is demonstrated using a new material called "M-Ink" which is tunable by magnetically changing the periodicity of the nanostructure and fixable by photochemically immobilizing those structures in a polymer network.
Abstract: Many creatures in nature, such as butterflies and peacocks, display unique brilliant colours, known as ‘structural colours’, which result from the interaction of light with periodic nanostructures on their surfaces. Mimicking such nanostructures found in nature, however, requires state-of-the-art nanofabrication techniques that are slow, expensive and not scalable. Herein, we demonstrate high-resolution patterning of multiple structural colours within seconds, based on successive tuning and fixing of colour using a single material along with a maskless lithography system. We have invented a material called ‘M-Ink’, the colour of which is tunable by magnetically changing the periodicity of the nanostructure and fixable by photochemically immobilizing those structures in a polymer network. We also demonstrate a flexible photonic crystal for the realization of structural colour printing. The simple, controllable and scalable structural colour printing scheme presented may have a significant impact on colour production for general consumer goods. Maskless high-resolution patterning of structural colours is demonstrated using a new material called ‘M-Ink’. The period of the material is patterned magnetically and a photochemical process immobilizes the structure in a polymer network.

606 citations


PatentDOI
25 Apr 2009-Science
TL;DR: The tip array can be prepared using conventional photolithographic methods and can be tailored to have any desired number and/or arrangement of tips as discussed by the authors, which can be used for printing indicia on a substrate using a tip array comprised of elastomeric, compressible polymers.
Abstract: The disclosure relates to methods of printing indicia on a substrate using a tip array comprised of elastomeric, compressible polymers. The tip array can be prepared using conventional photolithographic methods and can be tailored to have any desired number and/or arrangement of tips. Numerous copies (e.g., greater than 15,000, or greater than 11 million) of a pattern can be made in a parallel fashion in as little as 40 minutes.

451 citations


Journal ArticleDOI
20 Feb 2009-Science
TL;DR: In this article, the authors demonstrate nanoscale lateral confinement of a quasi-two-dimensional electron gas at a lanthanum aluminate-strontium titanate interface.
Abstract: Electronic confinement at nanoscale dimensions remains a central means of science and technology. We demonstrate nanoscale lateral confinement of a quasi-two-dimensional electron gas at a lanthanum aluminate-strontium titanate interface. Control of this confinement using an atomic force microscope lithography technique enabled us to create tunnel junctions and field-effect transistors with characteristic dimensions as small as 2 nanometers. These electronic devices can be modified or erased without the need for complex lithographic procedures. Our on-demand nanoelectronics fabrication platform has the potential for widespread technological application.

430 citations


Journal ArticleDOI
TL;DR: An overview of the best resolution obtained with several types of both organic and inorganic resists, including hydrogen silsesquioxane (HSQ), which is a relatively new e-beam resist that is very suitable when aiming for sub-20-nm resolution.
Abstract: In the past decade, the feature size in ultra large-scale integration (ULSI) has been continuously decreasing, leading to nanostructure fabrication. Nowadays, various lithographic techniques ranging from conventional methods (e.g. photolithography, x-rays) to unconventional ones (e.g. nanoimprint lithography, self-assembled monolayers) are used to create small features. Among all these, resist-based electron beam lithography (EBL) seems to be the most suitable technique when nanostructures are desired. The achievement of sub-20-nm structures using EBL is a very sensitive process determined by various factors, starting with the choice of resist material and ending with the development process. After a short introduction to nanolithography, a framework for the nanofabrication process is presented. To obtain finer patterns, improvements of the material properties of the resist are very important. The present review gives an overview of the best resolution obtained with several types of both organic and inorganic resists. For each resist, the advantages and disadvantages are presented. Although very small features (2-5 nm) have been obtained with PMMA and inorganic metal halides, for the former resist the low etch resistance and instability of the pattern, and for the latter the delicate handling of the samples and the difficulties encountered in the spinning session, prevent the wider use of these e-beam resists in nanostructure fabrication. A relatively new e-beam resist, hydrogen silsesquioxane (HSQ), is very suitable when aiming for sub-20-nm resolution. The changes that this resist undergoes before, during and after electron beam exposure are discussed and the influence of various parameters (e.g. pre-baking, exposure dose, writing strategy, development process) on the resolution is presented. In general, high resolution can be obtained using ultrathin resist layers and when the exposure is performed at high acceleration voltages. Usually, one of the properties of the resist material is improved to the detriment of another. It has been demonstrated that aging, baking at low temperature, immediate exposure after spin coating, the use of a weak developer and development at a low temperature increase the sensitivity but decrease the contrast. The surface roughness is more pronounced at low exposure doses (high sensitivity) and high baking temperatures. A delay between exposure and development seems to increase both contrast and the sensitivity of samples which are stored in a vacuum after exposure, compared to those stored in air. Due to its relative novelty, the capabilities of HSQ have not been completely explored, hence there is still room for improvement. Applications of this electron beam resist in lithographic techniques other than EBL are also discussed. Finally, conclusions and an outlook are presented.

419 citations


Journal ArticleDOI
TL;DR: In the present application, graphene samples on Si/SiO2 substrates are cut using helium ions, with computer controlled alignment, patterning, and exposure, providing fast lithography compatible with graphene, with approximately 15 nm feature sizes.
Abstract: We report nanoscale patterning of graphene using a helium ion microscope configured for lithography. Helium ion lithography is a direct-write lithography process, comparable to conventional focused ion beam patterning, with no resist or other material contacting the sample surface. In the present application, graphene samples on Si/SiO2 substrates are cut using helium ions, with computer controlled alignment, patterning, and exposure. Once suitable beam doses are determined, sharp edge profiles and clean etching are obtained, with little evident damage or doping to the sample. This technique provides fast lithography compatible with graphene, with approximately 15 nm feature sizes.

359 citations


Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate the suitability of high resolution optical lithography and dry etch processes for mass production of photonic integrated circuits, and demonstrate a propagation loss of 2.7 dB/cm for 500-nm photonic wire and an excess bending loss of 0.013 dB/90deg bend of 5mum radius.
Abstract: High-index contrast silicon-on-insulator technology enables wavelength-scale compact photonic circuits. We report fabrication of photonic circuits in silicon-on-insulator using complementary metal-oxide-semiconductor processing technology. By switching from advanced optical lithography at 248 to 193 nm, combined with improved dry etching, a substantial improvement in process window, linearity, and proximity effect is achieved. With the developed fabrication process, propagation and bending loss of photonic wires were characterized. Measurements indicate a propagation loss of 2.7 dB/cm for 500-nm photonic wire and an excess bending loss of 0.013 dB/90deg bend of 5-mum radius. Through this paper, we demonstrate the suitability of high resolution optical lithography and dry etch processes for mass production of photonic integrated circuits.

235 citations


Patent
20 Aug 2009
TL;DR: Improved fin field effect transistor (FinFET) devices and methods for the fabrication thereof are provided in this paper, where a substrate is provided having a silicon layer thereon and a fin lithography hardmask is patterned on the silicon layer.
Abstract: Improved fin field effect transistor (FinFET) devices and methods for the fabrication thereof are provided. In one aspect, a method for fabricating a field effect transistor device comprises the following steps. A substrate is provided having a silicon layer thereon. A fin lithography hardmask is patterned on the silicon layer. A dummy gate structure is placed over a central portion of the fin lithography hardmask. A filler layer is deposited around the dummy gate structure. The dummy gate structure is removed to reveal a trench in the filler layer, centered over the central portion of the fin lithography hardmask, that distinguishes a fin region of the device from source and drain regions of the device. The fin lithography hardmask in the fin region is used to etch a plurality of fins in the silicon layer. The trench is filled with a gate material to form a gate stack over the fins. The filler layer is removed to reveal the source and drain regions of the device, wherein the source and drain regions are intact and self-aligned with the gate stack.

222 citations


Journal ArticleDOI
TL;DR: In this paper, metal-assisted etching is used in conjunction with block-copolymer lithography to create ordered and densely packed arrays of high-aspect-ratio single-crystal silicon nanowires with uniform crystallographic orientations.
Abstract: Metal-assisted etching is used in conjunction with block-copolymer lithography to create ordered and densely-packed arrays of high-aspect-ratio single-crystal silicon nanowires with uniform crystallographic orientations. Nanowires with diameters and spacings down to 19 nm and 10 nm, respectively, are created as either continuous carpets or as carpets within trenches. Wires with aspect ratios up to 220 are fabricated, and capillary-induced clustering of wires is eliminated through post-etching critical point drying. The wires are single crystals with 〈100〉 axis directions. The distribution of wire diameters is narrow and closely follows the size distribution of the block copolymer, with a standard deviation of 3.12 nm for wires of mean diameters 22.06 nm. Wire arrays formed in carpets and in channels have hexagonal order with good fidelity to the block copolymer pattern. Fabrication of wires in topographic features demonstrates the ability to accurately control wire placement. Wire arrays made using this new process will have applications in the creation of arrays of photonic and sensing devices.

208 citations


Journal ArticleDOI
19 Jun 2009-Small
TL;DR: The fabrication of three-dimensional architectures withnanoscale dimensions with various methodologies including photolitho-graphy, scanning beam lithography, molding, embossing, and imprinting is studied.
Abstract: The fabrication of three-dimensional (3D) architectures withnanoscale dimensions is still an evolving research area ofnanotechnology. Various methodologies including conven-tional and unconventional fabrications, such as photolitho-graphy, scanning beam lithography, molding, embossing, andimprinting, have been developed in the past decade.

169 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrated that 4.5-nm-half-pitch structures could be achieved using electron-beam lithography, followed by salty development, and they also hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge is crucial in achieving a high initial development rate, which might be a more accurate assessment of developer performance than developer contrast.
Abstract: The authors, demonstrated that 4.5-nm-half-pitch structures could be achieved using electron-beam lithography, followed by salty development. They also hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge is crucial in achieving a high initial development rate, which might be a more accurate assessment of developer performance than developer contrast. Finally, they showed that with a high-development-rate process, a short duration development of 15s was sufficient to resolve high-resolution structures in 15-nm-thick resist, while a longer development degraded the quality of the structures with no improvement in the resolution.

169 citations


Journal ArticleDOI
TL;DR: This focus review provides an overview of the principle of colloidal lithography, and surveys the recent developments as well as outlining the future challenges.
Abstract: Nanochemical printmaking: Colloidal lithography paves a powerful nanochemical way for patterning on planar substrates and microparticles. The feature size can easily be scaled down to 100 nm by reducing the diameter of the microspheres and the feature shape diversified by the crystalline structure of a colloidal crystal mask, the mask etching time, the incidence angle of the vapor beam, and the mask registry (the azimuth angle of the vapor beam). Colloidal lithography relies on using colloidal crystals as masks for etching and deposition, and allows fabrication of various nanostructures on planar and non-planar substrates with low-cost, high-throughput-processing, large fabrication area, and a broad choice of materials. The feature size can easily shrink by decreasing the microsphere diameter in the colloidal mask. The feature shape can be diversified by varying the crystal structure of the colloidal mask, etching the mask, altering the incidence angle of the vapor beam, and stepwise manipulation of the mask registry. This nanochemical patterning strategy paves a complementary way to conventional top-down lithography. This focus review provides an overview of the principle of colloidal lithography, and surveys the recent developments as well as outlining the future challenges.

Journal ArticleDOI
TL;DR: In this paper, local anodic oxidation (LAO) was used for fabrication of single-layer, bilayer, and multilayer graphenes using tapping-mode atomic force microscope.
Abstract: We conducted local anodic oxidation (LAO) lithography in single-layer, bilayer, and multilayer graphenes using tapping-mode atomic force microscope. The width of insulating oxidized area depends systematically on the number of graphene layers. An 800-nm-wide bar-shaped device fabricated in single-layer graphene exhibits the half-integer quantum Hall effect. We also fabricated a 55-nm-wide graphene nanoribbon (GNR). The conductance of the GNR at the charge neutrality point was suppressed at low temperature, which suggests the opening of an energy gap due to lateral confinement of charge carriers. These results show that LAO lithography is an effective technique for the fabrication of graphene nanodevices.

Journal ArticleDOI
TL;DR: In this paper, a 3D memory architecture based on the resistive switching effect is presented. But resistive memory (RRAM) is nonvolatile, promises fast operation and can be integrated into high density architectures like crossbar arrays.
Abstract: We demonstrate the fabrication of a 3D memory architecture based on the resistive switching effect. Resistive memory (RRAM) is under wide investigation since it is non-volatile, promises fast operation and can be integrated into high density architectures like crossbar arrays. Here, silver-doped methyl-silsesquioxane (MSQ) is integrated in crossbar array structures for the following reasons. First, the material at the same time provides good planarization properties so that emerging lithography techniques like nanoimprint lithography (NIL) are applicable. Second, we could prove that silver-doped MSQ can be used as resistive switching material on the nano scale. Using this technique, crossbar arrays with a minimum feature size of only 100 nm are stacked on each other and the functionality is proved by electrical characterization. This comprises the doubling of the memory density and furthermore even higher integration is in principle not limited by this technique, while the CMOS overhead increases only slightly.

Journal ArticleDOI
27 Jan 2009-ACS Nano
TL;DR: A distinguishing feature of this technique is the use of a thin, sacrificial film to strip and transfer metallic nanopatterns and its ability to directly transfer metallic structures produced by conventional lithography.
Abstract: Conventional lithographic methods (e.g., electron-beam lithography, photolithography) are capable of producing high-resolution structures over large areas but are generally limited to large (>1 cm2) planar substrates. Incorporation of these features on unconventional substrates (i.e., small (<1 mm2) and/or non-planar substrates) would open possibilities for many applications, including remote fiber-based sensing, nanoscale optical lithography, three-dimensional fabrication, and integration of compact optical elements on fiber and semiconductor lasers. Here we introduce a simple method in which a thin thiol-ene film strips arbitrary nanoscale metallic features from one substrate and is then transferred, along with the attached features, to a substrate that would be difficult or impossible to pattern with conventional lithographic techniques. An oxygen plasma removes the sacrificial film, leaving behind the metallic features. The transfer of dense and sparse patterns of isolated and connected gold features ...

Journal ArticleDOI
TL;DR: A hybrid nanoimprint-soft lithography technique with sub-15 nm resolution is developed, capable of patterning both flat and curved substrates, and faithfully duplicated on a flat substrate without applying external pressure.
Abstract: We developed a hybrid nanoimprint-soft lithography technique with sub-15 nm resolution. It is capable of patterning both flat and curved substrates. The key component of the technology is the mold, which consists of rigid features on an elastic poly(dimethylsiloxane) (PDMS) support. The mold was fabricated by imprinting a reverse image onto the PDMS substrate using a UV-curable low-viscosity prepolymer film. Patterns with sub-15-nm resolution were faithfully duplicated on a flat substrate without applying external pressure. Gratings at 200 nm pitch were also successfully imprinted onto the cylindrical surface of a single mode optical fiber with a 125 microm diameter.

Journal ArticleDOI
TL;DR: The step and flash imprint lithography (S-FIL) is a variant of NIL that can be performed at room temperature and low pressure as discussed by the authors, and is ideally suited to integrated-circuit device fabrication.
Abstract: The term nanoimprint lithography (NIL) describes a number of processes used to form nanoscale structures by molding or embossing. Step and flash imprint lithography (S-FIL, a trademark of Molecular Imprints, Inc.) is a variant of NIL that can be performed at room temperature and low pressure. In S-FIL, a low-viscosity liquid imprint material is hardened in a patterned template by exposure to UV light. S-FIL is ideally suited to integrated-circuit device fabrication. Materials development for S-FIL has progressed significantly since its introduction in 1999. We discuss the status of materials development, with specific emphasis on the imprint material and functional materials, template fabrication and release layers, and S-FIL process variations.

Journal ArticleDOI
TL;DR: It is shown that simple BCP-templated galvanic displacement reactions yield dense arrays of mushroom-shaped gold nanopillars with a period of 50 nm, characterized by high SERS efficiencies.
Abstract: Up to now, little effort has been made to exploit large-area high-throughput patterning by block copolymer (BCP) lithography to generate nanostructured substrates with periods well below 100 nm for surface-enhanced Raman scattering (SERS). We show that simple BCP-templated galvanic displacement reactions yield dense arrays of mushroom-shaped gold nanopillars with a period of 50 nm. The nanoporous BCP films used as templates were obtained by swelling-induced reconstruction of reverse micelle monolayers deposited on silicon wafers. Coupling of adjacent mushroom caps almost impinging on each other combined with their strong local curvature results in a high spatial density of hot spots in the narrow gaps between them. Thus, substrates characterized by high SERS efficiencies are obtained.

Journal ArticleDOI
18 Jun 2009-Langmuir
TL;DR: A simple approach to wafer-scale self-cleaning antireflective hierarchical silicon structures is demonstrated by employing the KOH etching and silver catalytic etching to generate pyramidal hierarchical structures on the crystalline silicon wafer.
Abstract: A simple approach to wafer-scale self-cleaning antireflective hierarchical silicon structures is demonstrated. By employing the KOH etching and silver catalytic etching, pyramidal hierarchical structures were generated on the crystalline silicon wafer, which exhibit strong antireflection and superhydrophobic properties after fluorination. Furthermore, a flexible superhydrophobic substrate was fabricated by transferring the hierarchical Si structure to the NOA 63 film with UV-assisted imprint lithography. This method is of potential application in optical, optoelectronic, and wettability control devices.

Journal ArticleDOI
TL;DR: A novel and rapid strategy for inking nanoscale probes with different proteins, which can be transferred to a surface via the technique known as Polymer Pen Lithography (PPL), which has generated sub-100 nm structures at a rate of 150,000 features per second.
Abstract: The ability to fabricate protein micro and nano arrays in a low-cost and high throughput manner is important for a wide variety of applications, including drug screening, materials assembly, medical diagnostics, biosensors, and fundamental biological studies.[1-3] Traditional approaches to making protein microarrays include photolithography and inkjet printing. Recently, studies also have focused on the miniaturization of protein patterns into the nanometer regime because high density protein arrays can provide increased detection sensitivity and, in principle, allow one to screen millions of biomarkers with one chip.[4] Protein nanopatterns also can provide insight into important fundamental biological processes,[5] such as cell adhesion and differentiation.[6-9] Indeed, the ability to place an array of proteins or even multiple protein structures underneath a single cell opens up the opportunity to study multivalent interactions between a cell and a surface, and points to a major capability of nanoarray technology not afforded by analogous microscale structures. Herein, we report a novel and rapid strategy for inking nanoscale probes with different proteins, which can be transferred to a surface via the technique known as Polymer Pen Lithography (PPL).[10] Using this approach, we have generated sub-100 nm structures at a rate of 150,000 features per second.

Journal ArticleDOI
02 Nov 2009-Small
TL;DR: A scalable method for continuous fabrication and transfer printing of dense horizontally aligned CNT (HA-CNT) ribbon interconnects is presented and shows a value that exceeds most CNT assemblies made to date, and significant improvements can be made in CNT structural quality.
Abstract: Applications of carbon nanotubes (CNTs) in flexible and complementary metal-oxide-semiconductor (CMOS)-based electronic and energy devices are impeded due to typically low CNT areal densities, growth temperatures that are incompatible with device substrates, and challenges in large-area alignment and interconnection. A scalable method for continuous fabrication and transfer printing of dense horizontally aligned CNT (HA-CNT) ribbon interconnects is presented. The process combines vertically aligned CNT (VA-CNT) growth by thermal chemical vapor deposition, a novel mechanical rolling process to transform the VA-CNTs to HA-CNTs, and adhesion-controlled transfer printing without needing a carrier film. The rolling force determines the HA-CNT packing fraction and the HA-CNTs are processed by conventional lithography. An electrical resistivity of

Journal ArticleDOI
TL;DR: F Fresnel zone plates were fabricated by combining electron-beam lithography with atomic layer deposition and focused ion beam induced deposition and for the first time in X-ray microscopy, features below 10nm in width were resolved.

Patent
25 Apr 2009
TL;DR: In this article, a method of printing indicia on a substrate using a tip array comprising a compressible elastomeric polymer is described, which can be customized to have a tip of the number and arrangement to any desired.
Abstract: The present disclosure relates to a method of printing indicia on a substrate using a tip array comprising a compressible elastomeric polymer. The tip array can be fabricated using conventional photolithographic methods, it can be customized to have a tip of the number and / or arrangement to any desired. (E. G., More than 15,000 or more than 11 million), a number of reproductions of the pattern is short may be made in a manner parallel to within 40 minutes.

Journal ArticleDOI
TL;DR: In the OWL protocol presented here, multisegmented nanowires are grown and a support layer is deposited on one side of each nanostructure, creating structures with gaps as small as 2 nm and disks as thin as 20 nm that can be used in electrical transport, Raman enhancement and energy conversion.
Abstract: The next step in the maturing field of nanotechnology is to develop ways to introduce unusual architectural changes to simple building blocks. For nanowires, on-wire lithography (OWL) has emerged as a powerful way of synthesizing a segmented structure and subsequently introducing architectural changes through post-chemical treatment. In the OWL protocol presented here, multisegmented nanowires are grown and a support layer is deposited on one side of each nanostructure. After selective chemical etching of sacrificial segments, structures with gaps as small as 2 nm and disks as thin as 20 nm can be created. These nanostructures are highly tailorable and can be used in electrical transport, Raman enhancement and energy conversion. Such nanostructures can be functionalized with many types of adsorbates, enabling the use of OWL-generated structures as bioactive probes for diagnostic assays and molecular transport junctions. The process takes 13–36 h depending on the type of adsorbate used to functionalize the nanostructures.

Journal ArticleDOI
01 Nov 2009
TL;DR: A simple thermodynamic model was developed to explain static contact angle, hysteresis, and wetting transition on dual-roughness structures.
Abstract: Micro- and nanoscale combined hierarchical polymer structures were fabricated by UV-assisted capillary force lithography. The method is based on the sequential application of engraved polymer molds with a UV-curable resin of polyurethane acrylate (PUA) followed by surface treatment with a trichloro(1H,1H,2H,2H-perfluorooctyl) silane in vapor phase. Two distinct wetting states were observed on these dual-roughness structures. One is “Cassie–Wenzel state” where a water droplet forms heterogeneous contact with microstructures and homogeneous contact with nanostructures. The other is “Cassie–Cassie state” where a droplet makes heterogeneous contact both with micro- and nanostructures. A simple thermodynamic model was developed to explain static contact angle, hysteresis, and wetting transition on dual-roughness structures.

Journal ArticleDOI
TL;DR: Two-Photon lithography was used to microfabricate hydrogels directly in aqueous solution using non-ionic surfactant as a dispersant for large two-photon absorption cross-section hydrophobic chromophores.
Abstract: Two-photon lithography was used to microfabricate hydrogels (see figure) directly in aqueous solution using non-ionic surfactant as a dispersant for large two-photon absorption cross-section hydrophobic chromophores.

Journal ArticleDOI
TL;DR: In this article, a scanning-helium-ion-beam microscope was used to perform high-resolution helium ion-beam lithography with sub-10nm-half pitch patterning.
Abstract: A scanning-helium-ion-beam microscope is now commercially available. This microscope can be used to perform lithography similar to, but of potentially higher resolution than, scanning electron-beam lithography. This article describes the control of this microscope for lithography via beam steering/blanking electronics and evaluates the high-resolution performance of scanning helium-ion-beam lithography. The authors found that sub-10nm-half-pitch patterning is feasible. They also measured a point-spread function that indicates a reduction in the micrometer-range proximity effect typical in electron-beam lithography.

Journal ArticleDOI
TL;DR: The generalized Talbot imaging (GTI) as discussed by the authors is a self-imaging method for patterning large area periodic structures of arbitrary shape and content with nanoscale resolution, which can be used to create complex, periodic patterns needed in the many lithographic fabrication steps of modern semiconductor devices.
Abstract: The authors present a self-imaging lithographic technique, capable of patterning large area periodic structures of arbitrary content with nanoscale resolution. They start from the original concept of Talbot imaging of binary gratings—and introduce the generalized Talbot imaging (GTI) where periodic structures of arbitrary shape and content form high-definition self-images. This effect can be used to create the complex, periodic patterns needed in the many lithographic fabrication steps of modern semiconductor devices. Since the process is diffraction limited, the achievable resolution depends only on the wavelength, mask patterning, and degree of coherence of the source. Their approach removes all the complex extreme ultraviolet (EUV) reflective masks and optics, replacing them with nanopatterned transmission masks and makes the whole process simple and cost effective. They have successfully verified the GTI concept using first a He–Ne laser, and then demonstrated its potential as a nanolithography method...

Journal ArticleDOI
TL;DR: In this article, the authors describe a versatile and automatic high-power multiple beam interference lithography system design capable of overcoming the limitations of manual setups, which has successfully processed photoresist samples on the whole surface of 3in. silicon wafers, demonstrating the applicability of this prototype to a wide range of device fabrication.

Journal ArticleDOI
02 Jul 2009-ACS Nano
TL;DR: The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision and the maximum dimensional latitude in the cylinder-forming BCP patterns and themaximum skew angle that the BCP can tolerate have been investigated for the first time.
Abstract: The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision. We have explored the feasibility of using the BCP approach versus the conventional electron beam (e-beam) lithography to create highly dense dot patterns for bit-patterned media (BPM) applications. Cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) directly self-assembled on a chemically prepatterned substrate. The nearly perfect hexagonal arrays of perpendicularly oriented cylindrical pores at a density of approximately 1 Terabit per square inch (Tb/in.2) are achieved over an arbitrarily large area. Considerable gains in the BCP process are observed relative to the conventional e-beam lithography in terms of the dot size variation, the placement accuracy, the pattern uniformity, and the exposure latitude. The maximum dimensional latitude in the cylinder-forming BCP patterns and the maximum skew angle that the BCP can tolerate...

Journal ArticleDOI
TL;DR: In this article, a hyperlens can be used for photolithography to generate deep subwavelength arbitrary patterns from diffraction-limited masks, which can be extended from cylindrical interfaces to arbitrary interfaces.
Abstract: We propose that a hyperlens can be used for photolithography to generate deep subwavelength arbitrary patterns from diffraction-limited masks. Numerical simulation shows that half-pitch resolution down to 20 nm is possible from a mask with 280 nm period at working wavelength 375 nm. We also extend the hyperlens projection concept from cylindrical interfaces to arbitrary interfaces. An example of a flat interface hyperlens is numerically demonstrated for lithography purposes.