scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2013"


Journal ArticleDOI
TL;DR: This work investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope and achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist.
Abstract: We investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope. We achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist. We also analyzed the resolution limits of this technique by measuring the point-spread function at 200 keV. Furthermore, we measured the energy loss in the resist using electron-energy-loss spectroscopy.

381 citations


Journal ArticleDOI
TL;DR: In this article, the resist materials and processes among the key technologies of extreme ultraviolet (EUV) lithography are reviewed and the focus of the development has shifted to the 16 nm node and beyond.
Abstract: Extreme ultraviolet (EUV) radiation, the wavelength of which is 13.5 nm, is the most promising exposure source for next-generation semiconductor lithography. The development of EUV lithography has been pursued on a worldwide scale. Over the past decade, the development of EUV lithography has significantly progressed and approached its realization. In this paper, the resist materials and processes among the key technologies of EUV lithography are reviewed. Owing to its intensive development, the resist technology has already closely approached the requirements for the 22 nm node. The focus of the development has shifted to the 16 nm node and beyond. Despite the trade-off relationships among resolution, line edge roughness/line width roughness, and sensitivity, the capability of resist technology will go beyond the 16 nm node.

133 citations


Patent
24 May 2013
TL;DR: Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes are described in this paper.
Abstract: Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.

120 citations


Journal ArticleDOI
TL;DR: This paper surveys key design for manufacturing issues for extreme scaling with emerging nanolithography technologies, including double/multiple patterning lithography, extreme ultraviolet lithographic, and electron-beam lithography.
Abstract: In this paper, we survey key design for manufacturing issues for extreme scaling with emerging nanolithography technologies, including double/multiple patterning lithography, extreme ultraviolet lithography, and electron-beam lithography. These nanolithography and nanopatterning technologies have different manufacturing processes and their unique challenges to very large scale integration (VLSI) physical design, mask synthesis, and so on. It is essential to have close VLSI design and underlying process technology co-optimization to achieve high product quality (power/performance, etc.) and yield while making future scaling cost-effective and worthwhile. Recent results and examples will be discussed to show the enablement and effectiveness of such design and process integration, including lithography model/analysis, mask synthesis, and lithography friendly physical design.

113 citations


Patent
11 Mar 2013
TL;DR: In this paper, a method for defining a template for directed self-assembly (DSA) materials includes forming an etch stop layer on a neutral material, forming a mask layer on the etchstop layer and forming an anti-reflection coating (ARC) on the mask layer.
Abstract: A method for defining a template for directed self-assembly (DSA) materials includes forming an etch stop layer on a neutral material, forming a mask layer on the etch stop layer and forming an anti-reflection coating (ARC) on the mask layer. A resist layer is patterned on the ARC using optical lithography to form a template pattern. The ARC and the mask layer are reactive ion etched down to the etch stop layer in accordance with the template pattern to form a template structure. The ARC is removed from the mask layer and the template structure is trimmed to reduce a width of the template structure. A wet etch is performed to remove the etch stop layer to permit the neutral material to form an undamaged DSA template for DSA materials.

111 citations


Patent
13 May 2013
TL;DR: In this paper, a hard mask film made of a chromium-containing material including tin can cause a significant increase in the etching rate at the time of chlorine-containing dry etching.
Abstract: A light-shielding film 2 is formed on a transparent substrate 1. A hard mask film 3 is formed on this light-shielding film 2. The entire hard mask film 3 is made of a chromium-containing material including tin. The film made a chromium-containing material including tin can cause a significant increase in the etching rate at the time of chlorine-containing dry etching. Furthermore, comparing with a film made of a chromium-containing material in which part of chromium is replaced with a light element, the above film has an equal or higher level of etching resistance to fluorine-dry etching. Thus, burden on a photoresist at the time of etching the chromium-containing material film can be reduced. Therefore, high-precision pattern transfer can be performed even in the case that the resist film is thinned.

111 citations


Journal ArticleDOI
TL;DR: A high-resolution, high-sensitivity negative-tone photoresist technique that relies on bottom-up preassembly of differential polymer components within cylindrical polymer brush architectures that are designed to align vertically on a substrate and allow for top-down single-molecule line-width imaging is described.
Abstract: We describe a high-resolution, high-sensitivity negative-tone photoresist technique that relies on bottom-up preassembly of differential polymer components within cylindrical polymer brush architectures that are designed to align vertically on a substrate and allow for top-down single-molecule line-width imaging. By applying cylindrical diblock brush terpolymers (DBTs) with a high degree of control over the synthetic chemistry, we achieved large areas of vertical alignment of the polymers within thin films without the need for supramolecular assembly processes, as required for linear block copolymer lithography. The specially designed chemical compositions and tuned concentric and lengthwise dimensions of the DBTs enabled high-sensitivity electron-beam lithography of patterns with widths of only a few DBTs (sub-30 nm line-width resolution). The high sensitivity of the brush polymer resists further facilitated the generation of latent images without postexposure baking, providing a practical approach for c...

107 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate durable, chemically and thermally stable polyhedral oligomeric silsesquioxane-based anti-reflective moth's eye nanostructures on glass fabricated by double-side nanoimprint lithography.
Abstract: Polymer-based anti-reflective coatings (ARCs) on glass pose major challenges for outdoor photovoltaic applications due to their incompatible mechanical and thermal properties. Here we demonstrate durable, chemically and thermally stable polyhedral oligomeric silsesquioxane-based (POSS) anti-reflective moth's eye nanostructures on glass fabricated by double-side nanoimprint lithography. These anti-reflective nanostructures exhibited excellent broadband and quasi-omnidirectional anti-reflective properties. An optimum resist composition for nanoimprinting was obtained by mixing a methacryl POSS cage mixture with 1,6-hexanediol diacrylate in a 1 : 12 molar ratio. Thermal free radical co-polymerization during nanoimprint lithography produced a uniform array of moth's eye nanostructures on both sides of a glass substrate with yields ∼90 to 100%. The transmittance of the resulting glass was enhanced to 98.2% (reflectance 1.26%) with excellent quasi-omnidirectional transmittance observed from −50° to +50° of angles of incidence. Furthermore, a series of ASTM-based tests on the imprinted ARC structures showed strong adherence to glass, better hardness and mechanical strength with superior chemical and thermal stability, thus suggesting their strong potential for commercial applications.

96 citations


Patent
Chen-Yu Liu1, Ching-Yu Chang1
29 Aug 2013
TL;DR: In this paper, a method for making a semiconductor device is described, which includes forming a middle layer (ML) of a patterning stack and forming a photoresist layer directly on the middle layer.
Abstract: Methods and materials for making a semiconductor device are described. The method includes forming a middle layer (ML) of a patterning stack (e.g., a tri-layer patterning stack such as a tri-layer resist) and forming a photoresist layer directly on the middle layer. The middle layer includes an additive component having a photo base generator (PBG). The substrate including the photoresist layer and the middle layer is then exposed to a radiation. A covalent bond between the ML and the photoresist layer may be formed.

91 citations


Journal ArticleDOI
TL;DR: The demonstrated process capabilities in terms of feature density and line-edge roughness are in accordance with today's requirements for maskless lithography, for example for the fabrication of extreme ultraviolet (EUV) masks.
Abstract: Thermal scanning probe lithography is used for creating lithographic patterns with 27.5 nm half-pitch line density in a 50 nm thick high carbon content organic resist on a Si substrate. The as-written patterns in the poly phthaladehyde thermal resist layer have a depth of 8 nm, and they are transformed into high-aspect ratio binary patterns in the high carbon content resist using a SiO2 hard-mask layer with a thickness of merely 4 nm and a sequence of selective reactive ion etching steps. Using this process, a line-edge roughness after transfer of 2.7 nm (3σ) has been achieved. The patterns have also been transferred into 50 nm deep structures in the Si substrate with excellent conformal accuracy. The demonstrated process capabilities in terms of feature density and line-edge roughness are in accordance with today’s requirements for maskless lithography, for example for the fabrication of extreme ultraviolet (EUV) masks.

70 citations


Proceedings ArticleDOI
TL;DR: In this article, the performance of chemically-amplified resists and inorganic resists using EUV-IL has been evaluated with the aim of resolving patterns with CARs for 16 nm half pitch (HP) and 11 nm HP.
Abstract: The performance of EUV resists is one of the main challenges for the cost-effectiveness and the introduction of EUV lithography into high-volume manufacturing. The EUV interference lithography (EUV-IL) is a simple and powerful technique to print periodic nanostructures with a resolution beyond the capabilities of other tools. In addition, the well-defined and pitch-independent aerial image of the EUV-IL provides further advantages for the analysis of resist performance. In this paper, we present evaluation of chemically-amplified resists (CAR) and inorganic resists using EUV-IL. We illustrate the performance of the tool through a reproducibility study of a baseline resist over the course of 16 months. A comparative study of the performance of different resists is presented with the aim of resolving patterns with CARs for 16 nm half-pitch (HP) and 11 nm HP. Critical dimension (CD) and line-edge roughness (LER) are evaluated as functions of dose for different process conditions. With a CAR with about 10 mJ/cm2 sensitivity, 18 nm L/S patterns are obtained with low LER and well-resolved patterns are achieved down to 16 nm HP. With another CAR of about 35 mJ/cm2 sensitivity, L/S patterns with low LER are demonstrated down to 14 nm HP. Resolved patterns are achieved down to 12 HP, demonstrating the capability of its potential towards 11 nm HP if pattern collapse mitigation can be successfully applied. With EUV-sensitive inorganic resists, patterning down to 8 nm has been realized. In summary, we show that resist platforms with reasonable sensitivities are already available for patterning at 16 nm HP, 11 nm HP, and beyond, although there is still significant progress is needed. We also show that with decreasing HP, pattern collapse becomes a crucial issue limiting the resolution and LER. Therefore resist stability, collapse mitigation, and etch resistance are some of the significant problems to be addressed in the development of resist platforms for future technology nodes.

Journal ArticleDOI
TL;DR: In this article, resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists and the contribution of protected unit fluctuation to line edge roughness was estimated to be ±0.31 to ± 0.37σ.
Abstract: Extreme ultraviolet (EUV) lithography is promising for the high-volume production of semiconductor devices for the 16 nm node and below. However, the stochastic effect is a significant concern in lithography using high-energy (92.5 eV) photons and highly sensitive resists. In this study, we report a technique for evaluating the stochastic effect on line edge roughness (LER). Resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. The contribution of protected unit fluctuation to LER was estimated to be ±0.31 to ±0.37σ.

Journal ArticleDOI
TL;DR: By utilizing a reflective plasmonic slab, resolution and fidelity of imaged resist patterns are remarkably improved especially for isolated nano features and near field optical proximity corrections help to improve imaging fidelity of two dimensional nano patterns.
Abstract: By utilizing a reflective plasmonic slab, it is demonstrated numerically and experimentally in this paper deep sub-wavelength imaging lithography for nano characters with about 50 nm line width and dense lines with 32 nm half pitch resolution (about 1/12 wavelength). Compared with the control experiment without reflective plasmonic slab, resolution and fidelity of imaged resist patterns are remarkably improved especially for isolated nano features. Further numerical simulations show that near field optical proximity corrections help to improve imaging fidelity of two dimensional nano patterns.

Patent
11 Feb 2013
TL;DR: In this paper, a method for forming a resist underlayer film of a multilayer resist film having at least three layers used in a lithography, includes a step of coating a composition for resist under-layer film containing a novolak resin represented by the following general formula (1) obtained by treating a compound having a bisnaphthol group on a substrate; and a stepof curing the coated composition for the resist under layer film by a heat treatment at a temperature above 300° C and 600° C. or lower for 10 to 600 seconds.
Abstract: A method for forming a resist underlayer film of a multilayer resist film having at least three layers used in a lithography, includes a step of coating a composition for resist underlayer film containing a novolak resin represented by the following general formula (1) obtained by treating a compound having a bisnaphthol group on a substrate; and a step of curing the coated composition for the resist underlayer film by a heat treatment at a temperature above 300° C. and 600° C. or lower for 10 to 600 seconds. A method for forming a resist underlayer film, and a patterning process using the method to form a resist underlayer film in a multilayer resist film having at least three layers used in a lithography, gives a resist underlayer film having a lowered reflectance, a high etching resistance, and a high heat and solvent resistances, especially without wiggling during substrate etching.

Journal ArticleDOI
TL;DR: In this paper, a combination of electron beam lithography (EBL) with the outstanding capabilities of closed-loop electric field current-controlled scanning probe nanolithography (SPL) is demonstrated.
Abstract: Going “beyond the CMOS information-processing era,” taking advantage of quantum effects occurring at sub-10-nm level, requires novel device concepts and associated fabrication technologies able to produce promising features at acceptable cost levels. Herein, the challenge affecting the lithographic technologies comprises the marriage of down-scaling the device-relevant feature size towards single-nanometer resolution with a simultaneous increase of the throughput capabilities. Mix-and-match lithographic strategies are one promising path to break through this trade-off. Proof-of-concept combining electron beam lithography (EBL) with the outstanding capabilities of closed-loop electric field current-controlled scanning probe nanolithography (SPL) is demonstrated. This combination, whereby also extreme ultraviolet lithography (EUVL) is possible instead of EBL, enables more: improved patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) beyond the state of the art, allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension levels with scanning probe microscopy-based pattern overlay alignment capability. Moreover, we are able to modify the EBL (EUVL) pattern even after the development step. The ultra-high resolution mix-and-match lithography experiments are performed on the molecular glass resist calixarene using a Gaussian e-beam lithography system operating at 10 keV and a home-developed SPL setup.

Proceedings ArticleDOI
TL;DR: In this paper, the potential of Directed Self-Assembly (DSA) to address contact via level patterning, by either Critical Dimension (CD) shrink or contact multiplication, is investigated.
Abstract: The goal of this paper is to investigate the potential of Directed Self-Assembly (DSA) to address contact via level patterning, by either Critical Dimension (CD) shrink or contact multiplication. Using the 300mm pilot line available in LETI and Arkema materials, our approach is based on the graphoepitaxy of PS-b- PMMA block copolymers (BCP). The process consists in the following steps: a) the lithography of guiding patterns, b) the DSA of block copolymers and PMMA removal and finally c) the transfer of PS patterns into the under-layer by plasma etching. Several integration schemes using 193nm dry lithography are evaluated: negative tone development (NTD) resists, a tri-layer approach, frozen resists, etc. The advantages and limitations of each approach are reported. Furthermore, the impact of the BCP on the final patterns characteristics is investigated by tuning different parameters such as the molecular weight of the polymeric constituents and the interaction with the substrate. The optimization of the self-assembly process parameters in terms of film thickness or bake (temperature and time) is also reported. Finally, the transfer capabilities of the PS nanostructures in bulk silicon substrate by using plasma-etching are detailed. These results show that DSA has a high potential to be integrated directly into the conventional CMOS lithography process in order to achieve high-resolution contact holes. Furthermore, in order to prevent design restrictions, this approach may be extended to more complex structures with multiple contacts and nonhexagonal symmetries.

Patent
11 Jan 2013
TL;DR: In this article, a mask pattern region has the absorber layer and a non-absorber layer on the reflective layer of an substrate, whereas the region outside the mask pattern regions has an EUV reflective layer, a light shielding layer for suppressing reflection of EUV light and DUV-Vis light having a wavelength of from 190 to 500 nm.
Abstract: A reflective mask for an extreme ultra violet (EUV) lithography obtained by forming a mask pattern in an absorber layer of an reflective mask blank is useful in semiconductor production. The EUV reflective mask has two regions of a mask pattern region and a region outside the mask pattern region. The mask pattern region has the absorber layer and a non-absorber layer on the reflective layer of an substrate, wherein the region outside the mask pattern region has an EUV reflective layer, an EUV absorber layer, and a light shielding layer for suppressing reflection of EUV light and DUV-Vis light having a wavelength of from 190 to 500 nm. The EUV reflective mask reduces unnecessary exposure of resist formed on a substrate to reflected light from the region outside the mask pattern region and reduces a pattern size to produce an accurate transfer pattern.

Journal ArticleDOI
TL;DR: This work created free-standing nanostencils in HSQ with sub-10 nm dimensions onto PMMA supports, which allow liftoff in organic solvents, thus extending this method to a broad range of substrate materials and demonstrating the utility of this process in fabricating entire arrays of dimer nanostructures with sub-$10 nm gaps.
Abstract: Nanogaps between metal nanostructures are useful in localizing optical energy in plasmonic antennas, but are challenging to directly pattern. Patterning with the positive-tone polymethyl methacrylate (PMMA) resist causes an undesirable spread in nanogap dimensions. On the other hand, the negative-tone hydrogen silsesquioxane (HSQ) resist possesses the high resolution suited for the definition of nanogaps. However, it requires a hydrofluoric acid solution for liftoff, making it incompatible with the quartz or glass substrates used in optical devices. In this work, we created free-standing nanostencils in HSQ with sub-10 nm dimensions onto PMMA supports, which allow liftoff in organic solvents, thus extending this method to a broad range of substrate materials. The cross-sectional profiles of the nanogaps formed between the gold nanostructures were imaged in a transmission electron microscope and measured to be ~8 nm. We demonstrated the utility of this process in fabricating entire arrays of dimer nanostructures with sub-10 nm gaps. Using a surface enhanced Raman scattering setup, an order of magnitude increase in peak intensity was observed when the fields in the gap were resonantly excited compared to when the fields were localized at the corners of the nanostructures.

Patent
19 Apr 2013
TL;DR: In this paper, a resist pattern-forming method is described, which includes coating a radiation-sensitive resin composition on a substrate to provide a resist film, and then exposing the resist film is exposed.
Abstract: A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed with a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a base polymer, a fluorine-atom-containing polymer, a radiation-sensitive acid generator, a solvent, and a compound. The base polymer has an acid-labile group. The fluorine-atom-containing polymer has a content of fluorine atoms higher than a content of fluorine atoms of the base polymer. The compound has a relative permittivity greater than a relative permittivity of the solvent by at least 15. A content of the compound is no less than 10 parts by mass and no greater than 200 parts by mass with respect to 100 parts by mass of the base polymer.

Journal ArticleDOI
TL;DR: In this paper, the defects of line-and-space resist patterns were investigated from the viewpoint of the stochastic effects of chemical reactions using the standard deviation σ of the protected unit concentration.
Abstract: With the approach of the realization of extreme ultraviolet (EUV) lithography, practical issues such as the defects of resist patterns have attracted attention. In this study, the defects of line-and-space resist patterns were investigated from the viewpoint of the stochastic effects of chemical reactions. The stochastic effect was expressed using the standard deviation σ of the protected-unit concentration. To eliminate bridges within a 6.8 µm length in the line direction, a 1.5–2.0σ difference is required between the average protected-unit concentration and the dissolution point at the center of the space. To eliminate line breaks and severe pinching within a 6.1 µm length in the line direction, a 1.2–1.6σ difference is required between the average protected-unit concentration and the dissolution point at the center of the resist line pattern.

Journal ArticleDOI
TL;DR: In this article, the design, fabrication, and electromagnetic cold testing results of an all-copper grating circuit intended for a G-band sheet-beam traveling-wave amplifier are presented.
Abstract: The design, fabrication, and electromagnetic cold testing results of an all-copper grating circuit intended for a G-band sheet-beam traveling-wave amplifier are presented. Fabrication was carried out via ultraviolet photolithography (UV-LIGA) using the SU-8 photoresists. Two cold test methods used to characterize the microfabricated circuits are reported and reveal excellent agreement with simulations. This type of all-copper grating also shows potential for use as a high-average-power sharp-cutoff filter.

Journal ArticleDOI
TL;DR: In this paper, a double-layer resist system was proposed to create dense structures by EBL utilizing a layer of polymethyl-methacrylate (PMMA) as sacrificial layer beneath a HSQ layer.

Patent
05 Sep 2013
TL;DR: In this paper, the resist composition comprises a resin (A1) having an acid-labile group, a resin(A2) having a structural unit (I), and an acid generator.
Abstract: PROBLEM TO BE SOLVED: To provide a resist composition from which a resist pattern having good line edge roughness can be produced.SOLUTION: The resist composition comprises a resin (A1) having an acid-labile group, a resin (A2) having a structural unit (I), and an acid generator. In formula (I), Rrepresents a hydrogen atom or a hydrocarbon group having 1 to 18 carbon atoms; Arepresents a single bond, an alkanediyl group having 1 to 6 carbon atoms or -A-X-(A-X)-(A)-; and Rrepresents a fluorinated saturated hydrocarbon group having 1 to 13 carbon atoms.SELECTED DRAWING: None

Journal ArticleDOI
TL;DR: In this article, the line width roughness (LWR) transfer and critical dimension control during a typical gate stack patterning was studied and the benefits of introducing 193'nm photoresist treatments before pattern transfer into the gate stack to improve process performance.
Abstract: The present work focuses on the line width roughness (LWR) transfer and the critical dimension control during a typical gate stack patterning and shows the benefits of introducing 193 nm photoresist treatments before pattern transfer into the gate stack to improve process performance. The two investigated treatments (HBr plasma and vacuum ultra violet (VUV) plasma radiation) have been tested on both blanket photoresist films and resist patterns to highlight the etching and roughening mechanisms of cured resists. Both treatments reinforce the etch resistance of the photoresist exposed to fluorocarbon plasma etching process used to open the Si-ARC (silicon antireflective coating) layer. The etch resistance improvement of cured resists is attributed to both the decrease in oxygen content within the resist and the crosslinking phenomena caused by VUV radiation during the treatment. As the magnitude of the surface roughness is directly correlated to the etched thickness, cured resists, which are etched less rapidly, will develop a lower surface roughness for the same processing time compared to reference resists. The LWR evolution along the pattern sidewalls has been studied by critical dimension atomic force microscopy during the Si-ARC plasma etching step. The study shows that the LWR is degraded at the top of the resist pattern and propagates along the pattern sidewalls. However, as long as the degradation does not reach the interface between resist and Si-ARC, the LWR decreases during the Si-ARC etching step. As resist pretreatments reinforce the resist etch resistance during Si-ARC etching, the LWR degradation along the sidewalls is limited leading to minimized LWR transfer. The LWR decrease observed after plasma etching has been explained thanks to a spectral analysis of the LWR performed by critical dimension scanning electron microscopy combined with the power spectral density fitting method. The study shows that the high and medium frequency components of the roughness (periodicity below 200 nm) are not totally transferred during the gate patterning allowing a LWR decrease at each plasma step.

Proceedings ArticleDOI
TL;DR: In this paper, the impact of sensitivity on resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley was investigated.
Abstract: Chemically amplified resist materials with a different sensitivity were prepared to investigate impact of sensitivity on resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley. Sensitivity at least slower than 30 mJ/cm2 was required to resolve 15 nm hp patterns using current EUV resists. It is noteworthy that resolution of 15 nm hp was limited by not only pattern collapse but also pinching of patterns. The same tendency is observed in E-beam patterning at 20 nm hp. A strong relationship between pinching and sensitivity in E-beam exposure indicates contribution of photon-shot noise on the pinching. Clear correlation between diffusion length and pinching using the E-beam exposure indicates that acid diffusion is another contributor on the pinching. Bound PAG into polymer and molecular PAG with a big anchor group showed almost same character on pinching. Key conclusion here is even in a molecular PAG, we can control acid diffusion to achieve 15 nm hp resolution capability. Strategy to improve sensitivity is to utilize resist with high deprotection efficiency. Polymer with a low thermal activation energy on deprotection (low Ea polymer) was demonstrated as a key technology to achieve 15 nm hp resolution with a faster sensitivity below 26 mJ/cm2. Special rinse material was effective for reducing LWR by ~ 20%. Sensitivity dependency of outgassing have been systematically discussed at first. A good linear correlation between a cleanable outgassing amount and exposure energy strongly indicates tradeoff relationship between outgassing and sensitivity. Applying a new EUV topcoat to resist demonstrated reduction of outgassing from 7.39 nm to below 0.1 nm with maintaining resolution.

Journal ArticleDOI
TL;DR: Using an ultrahigh contrast electron beam lithography process, ultrahigh resolution and high aspect ratio patterning capability which can be done at an exposure dose lower than 100 μC cm(-2) is shown.
Abstract: Ultrahigh density nanostructure arrays with controlled size and position have promised a variety of potential applications. However, their practical realization is often hindered by the amount of resources required for large-scale fabrication. Using an ultrahigh contrast electron beam lithography process, we show ultrahigh resolution and high aspect ratio patterning capability which can be done at an exposure dose lower than 100 C cm 2 . In particular, the high aspect ratio of dot arrays on 110 nm thick resist is confirmed by a standard lift-off process of 20 nm thick gold nanodots at sub-15 nm feature size and 40 nm pitch. The smallest gold nanodot size from our experiment is 11 nm. (Some figures may appear in colour only in the online journal)

Journal ArticleDOI
TL;DR: In this article, a self-assembly of cylinder-forming poly(styrene-b-dimethylsiloxane) block copolymer is demonstrated using topographically patterned resist templates and annealed in solvent vapor.
Abstract: The control of order and orientation of the self-assembly of cylinder-forming poly(styrene-b-dimethylsiloxane) block copolymer is demonstrated. Copolymer thin films are spun-cast onto topographically patterned (well-defined rectangular cross-section channels) polyhedral-silsesquioxane-type resist templates and annealed in solvent vapor. The templates used here are fabricated by UV-curing nanoimprint lithography and the surface properties of the resist are tuned by the ligands coordinated to the resist's silsesquioxane cages. Depending on the resist's composition and on the surface chemistry at the base of the trench (resist or silicon), various morphologies and orientations of the polydimethylsiloxane cylinders are observed without the use of a brush layer. Some surfaces are demonstrated to be neutral for the copolymer, without any wetting layer and, under favorable conditions, highly ordered features are observed over substrate areas of about 1 cm2 (scalable to larger surfaces). Also, the possibility of using solvents widely accepted in industry for polymer spin-coating and annealing is proved. Due to the high plasma etch resistance of the polydimethylsiloxane block, self-assembled patterns can be transferred to the silicon substrate producing silicon features with aspect ratios up to 2. We demonstrate that the methodology developed here could be integrated into conventional fabrication processes and scaled to wafer production.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the realization of high aspect ratio nanopillars (7.5) with 20nm diameter in 150nm Hydrogen SilsesQuioxane (HSQ) thickness based on 20keV energy exposure.

Journal ArticleDOI
TL;DR: A new spin-on alumina-based resist exhibits excellent performance in terms of both achievable lateral resolution and etch resistance in fluorine-based non-cryo-cooled dry etching processes.
Abstract: A new spin-on alumina-based resist exhibits excellent performance in terms of both achievable lateral resolution and etch resistance in fluorine-based non-cryo-cooled dry etching processes. The resist has selectivity greater than 100:1 with respect to the underlying silicon during the etching process, patternability with various lithographic tools (UV, X-rays, electron beam, and nanoimprint lithography), and positive and negative tone behavior depending only on the developer chemistry.

Journal ArticleDOI
TL;DR: Minimal Fab System Photolithography has been developed for wafer patterning process without the requirement of a cleanroom as mentioned in this paper, which consists of minimal resist coater, minimal maskless UV exposure, minimal masks aligner and minimal resist developer.
Abstract: Minimal Fab System Photolithography has been developed for wafer patterning process without the requirement of a cleanroom. It consists of minimal resist coater, minimal maskless UV exposure, minimal mask aligner and minimal resist developer. We have proved in practice that the spin coating using minimal resist coater for a 0.5-inch wafer gives the identical result of resist thickness compared with 4-inch wafers without changes to a higher rotational speed. The minimal maskless UV exposure using Digital Light Processing (DLP) confirms that only one LED light source with the light intensity of 150 mW/cm can expose over a 0.5-inch wafer in a few minutes. The minimal mask aligner is developed for a high speed exposure within 5-20 seconds. The aligner also uses a LED light source that can produce the light intensity of 14 mW/cm. The present photoresist resolution for the maskless UV exposure and the mask aligner are 1 μm and 2 μm, respectively. The minimal developer has minimized its consumption of developing agents. Due to the surface tension, a volume of developing agent is kept on the wafer surface for slowly spinning to stimulate the developing process. The developing time is 20% saved from that of the conventional puddle development.