scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2017"


Journal ArticleDOI
TL;DR: Advances in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform and development strategies and the challenges in next generationresist materials are summarized and discussed.
Abstract: Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

173 citations


Journal ArticleDOI
TL;DR: A review on the principles and the recent advances of high-resolution 3D printing techniques, including two-photon polymerization (TPP), projection microstereoLithography (PµSL), direct ink writing (DIW), and electrohydrodynamic printing (EHDP), can be found in this paper.
Abstract: Over the past few decades, there has been an increasing interest in the fabrication of complex high-resolution three-dimensional (3D) architectures at micro/nanoscale. These architectures can be obtained through conventional microfabrication methods including photolithography, electron-beam lithography, femtosecond laser lithography, nanoimprint lithography, etc. However, the applications of these fabrication methods are limited by their high costs, the generation of various chemical wastes, and their insufficient ability to create high-aspect-ratio 3D structures. High-resolution 3D printing has recently emerged as a promising solution, as it is capable of building multifunctional 3D constructs with optimal properties. Here we present a review on the principles and the recent advances of high-resolution 3D printing techniques, including two-photon polymerization (TPP), projection microstereoLithography (PµSL), direct ink writing (DIW) and electrohydrodynamic printing (EHDP). We also highlight their typical applications in various fields such as metamaterials, energy storage, flexible electronics, microscale tissue engineering scaffolds and organ-on-chips. Finally, we discuss the challenge and perspective of these high-resolution 3D printing techniques in technical and application aspects. We believe that high-resolution 3D printing will eventually revolutionize the microfabrication processes of 3D architectures with high product quality and diversified materials. It will also find applications in a wide scope.

130 citations


Journal ArticleDOI
TL;DR: Highly reproducible-organometallic-halide-perovskite based devices, various device shapes that are hard to directly synthesize, unique properties and an improved photodetector have been successfully achieved.
Abstract: Highly reproducible organometallic-halide-perovskite-based devices are fabricated by a manufacturing process, which is demonstrated. Various shapes that are hard to synthesize directly are fabricated, and many unique properties are achieved.The fabrication procedure is utilized to create a photodetector and the detection sensitivity is significantly improved. The results will bring revolutionary advancement to the future of lead-halide-perovskite-based optoelectronic devices.

128 citations


Journal ArticleDOI
TL;DR: Optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL) are introduced for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers.
Abstract: We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

126 citations


Journal ArticleDOI
TL;DR: The transition of a form of nanoimprint lithography technology, known as Jet and Flash Imprint Lithography (J-FIL), from research to a commercial fabrication infrastructure for leading-edge semiconductor integrated circuits (ICs) is discussed, including description of the high volume manufacturing stepper tools created for advanced memory manufacturing.
Abstract: This article discusses the transition of a form of nanoimprint lithography technology, known as Jet and Flash Imprint Lithography (J-FIL), from research to a commercial fabrication infrastructure for leading-edge semiconductor integrated circuits (ICs) Leading-edge semiconductor lithography has some of the most aggressive technology requirements, and has been a key driver in the 50-year history of semiconductor scaling Introducing a new, disruptive capability into this arena is therefore a case study in a "high-risk-high-reward" opportunity This article first discusses relevant literature in nanopatterning including advanced lithography options that have been explored by the IC fabrication industry, novel research ideas being explored, and literature in nanoimprint lithography The article then focuses on the J-FIL process, and the interdisciplinary nature of risk, involving nanoscale precision systems, mechanics, materials, material delivery systems, contamination control, and process engineering Next, the article discusses the strategic decisions that were made in the early phases of the project including: (i) choosing a step and repeat process approach; (ii) identifying the first target IC market for J-FIL; (iii) defining the product scope and the appropriate collaborations to share the risk-reward landscape; and (iv) properly leveraging existing infrastructure, including minimizing disruption to the widely accepted practices in photolithography Finally, the paper discusses the commercial J-FIL stepper system and associated infrastructure, and the resulting advances in the key lithographic process metrics such as critical dimension control, overlay, throughput, process defects, and electrical yield over the past 5 years This article concludes with the current state of the art in J-FIL technology for IC fabrication, including description of the high volume manufacturing stepper tools created for advanced memory manufacturing

126 citations


Journal ArticleDOI
TL;DR: In this paper, a review article addresses the recent advancements made in electron beam lithography (EBL) resists technology and describes the different lithography processes briefly and then progresses on to the parameters affecting the EBL fabric.
Abstract: The semiconductor industry has already entered the sub-10 nm region, which has led to the development of cutting-edge fabrication tools. However, there are other factors that hinder the best outcome of these tools, such as the substrate and resist materials, pre- and postfabrication processes, etc. Among the lithography techniques, electron beam lithography (EBL) is the prime choice when a job requires dimensions lower than 10–20 nm, since it can easily achieve such critical dimensions in reasonable time and effort. When obtaining pattern features in single nanometer regime, the resist material properties play an important role in determining the size. With this agenda in mind, many resists have been developed over the years suitable for attaining required resolution in lesser EBL writing time. This review article addresses the recent advancements made in EBL resists technology. It first describes the different lithography processes briefly and then progresses on to the parameters affecting the EBL fabric...

107 citations


Journal ArticleDOI
TL;DR: Laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks are demonstrated, enabling the creation of numerous photonic devices.
Abstract: Silicon is an excellent material for microelectronics and integrated photonics 1–3 , with untapped potential for mid-infrared optics 4 . Despite broad recognition of the importance of the third dimension 5,6 , current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements 7 , electronic devices and better electronic–photonic integration are lacking 8 . Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, ‘in-chip’—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances. By exploiting dynamics arising from nonlinear laser–material interactions, functional microelements and arbitrarily complex 3D architectures deep inside silicon are fabricated with 1 μm resolution, without damaging the silicon above or below.

95 citations


Journal ArticleDOI
TL;DR: The easy preparation, high χ value, and etch selectivity while enduring thermal treatment demonstrates PS-b-PPC as a rare and valuable candidate for advancing the field of nanolithography.
Abstract: Directed self-assembly (DSA) of block copolymers (BCPs) combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) in DSA strategy cannot meet the rapid development of lithography technology because its intrinsic limited Flory–Huggins interaction parameter (χ). Despite hundreds of block copolymers have been developed, these BCPs systems are usually subject to a trade-off between high χ and thermal treatment, resulting in incompatibility with the current nanomanufacturing fab processes. Here we discover that polystyrene-b-poly(propylene carbonate) (PS-b-PPC) is well qualified to fill key positions on DSA strategy for the next-generation lithography. The estimated χ-value for PS-b-PPC is 0.079, that is, two times greater than PS-b-PMMA (χ = 0.029 at ...

93 citations


Journal ArticleDOI
TL;DR: A versatile "capillary-bridge lithography" technique is developed for patterning 1D organic single crystals and microring structures through controlling the generation and dewetting of capillary bridges on an interface with asymmetric wettability.
Abstract: A versatile "capillary-bridge lithography" technique is developed for patterning 1D organic single crystals and microring structures through controlling the generation and dewetting of capillary bridges on an interface with asymmetric wettability. High-performance Fabry-Perot and whispering-gallery mode lasing emission with tunable modes are achieved on these 1D and microring structures.

89 citations


Journal ArticleDOI
TL;DR: In this paper, the authors combined static and dynamic mechanical analysis on purpose-designed microstructures (microbending of pillar-like structures and picometer-sensitive laser Doppler vibrometry of drumlike structures) to viably and nondestructively estimate Young's modulus, Poisson's ratio, and density of materials for 2P lithography.
Abstract: Two-photon (2P) lithography shows great potential for the fabrication of three-dimensional (3-D) micro- and nanomechanical elements, for applications ranging from microelectromechanical systems to tissue engineering, by virtue of its high resolution (<100 nm) and biocompatibility of the photosensitive resists. However, there is a considerable lack of quantitative data on mechanical properties of materials for 2P lithography and of structures obtained through this technique. In this paper, we combined static and dynamic mechanical analysis on purpose-designed microstructures (microbending of pillar-like structures and picometer-sensitive laser Doppler vibrometry of drum-like structures) to viably and nondestructively estimate Young's modulus, Poisson's ratio, and density of materials for 2P lithography. This allowed us to analyze several polymeric photoresists, including acrylates and epoxy-based materials. The experiments reveal that the 2P exposure power is a key parameter to define the stiffness of the realized structures, with hyperelasticity clearly observable for high-power polymerization. In the linear elastic regime, some of the investigated materials are characterized by a quasi-linear dependence of Young's modulus on the used exposure power, a yet unknown behavior that adds a new degree of freedom to engineer complex 3-D micro- and nanomechanical elements.

86 citations


Journal ArticleDOI
TL;DR: Results indicate that polymer-based nanofabrication can achieve feature sizes comparable to the Kuhn length of PMMA and ten times smaller than its radius of gyration, and will increase the resolution, speed, and complexity in nanomaterial fabrication.
Abstract: Patterning materials efficiently at the smallest length scales is a longstanding challenge in nanotechnology. Electron-beam lithography (EBL) is the primary method for patterning arbitrary features, but EBL has not reliably provided sub-4 nm patterns. The few competing techniques that have achieved this resolution are orders of magnitude slower than EBL. In this work, we employed an aberration-corrected scanning transmission electron microscope for lithography to achieve unprecedented resolution. Here we show aberration-corrected EBL at the one nanometer length scale using poly(methyl methacrylate) (PMMA) and have produced both the smallest isolated feature in any conventional resist (1.7 ± 0.5 nm) and the highest density patterns in PMMA (10.7 nm pitch for negative-tone and 17.5 nm pitch for positive-tone PMMA). We also demonstrate pattern transfer from the resist to semiconductor and metallic materials at the sub-5 nm scale. These results indicate that polymer-based nanofabrication can achieve feature s...

Journal ArticleDOI
TL;DR: A balanced view of the method that introduces the key steps in its evolution, provides some detailed explanations on its fundamentals and presents current trends and applications of o-SPL is provided.
Abstract: Force microscopy enables a variety of approaches to manipulate and/or modify surfaces. Few of those methods have evolved into advanced probe-based lithographies. Oxidation scanning probe lithography (o-SPL) is the only lithography that enables the direct and resist-less nanoscale patterning of a large variety of materials, from metals to semiconductors; from self-assembled monolayers to biomolecules. Oxidation SPL has also been applied to develop sophisticated electronic and nanomechanical devices such as quantum dots, quantum point contacts, nanowire transistors or mechanical resonators. Here, we review the principles, instrumentation aspects and some device applications of o-SPL. Our focus is to provide a balanced view of the method that introduces the key steps in its evolution, provides some detailed explanations on its fundamentals and presents current trends and applications. To illustrate the capabilities and potential of o-SPL as an alternative lithography we have favored the most recent and updated contributions in nanopatterning and device fabrication.

Journal ArticleDOI
TL;DR: The combination of state-of-the-art low-temperature in-situ photolithography and femtosecond 3D direct laser writing is demonstrated to fabricate hemispherical lenses on top of the quantum emitter with a submicrometric precision.
Abstract: In the current study, we report on the deterministic fabrication of solid immersion lenses (SILs) on lithographically pre-selected semiconductor quantum dots (QDs). We demonstrate the combination of state-of-the-art low-temperature in-situ photolithography and femtosecond 3D direct laser writing. Several QDs are pre-selected with a localization accuracy of less than 2 nm with low-temperature lithography and three-dimensional laser writing is then used to deterministically fabricate hemispherical lenses on top of the quantum emitter with a submicrometric precision. Due to the printed lenses, the QD light extraction efficiency is enhanced by a factor of 2, the pumping laser is focused more, and the signal-to-noise ratio is increased, leading to an improved localization accuracy of the QD to well below 1 nm. Furthermore, modifications of the QD properties, i.e. strain and variation of internal quantum efficiency induced by the printed lenses, are also reported.

Journal ArticleDOI
TL;DR: This Letter demonstrates for the first time, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography, and includes a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity.
Abstract: Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (−20 dB). A measured coupling efficiency of −2.7 dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

Journal ArticleDOI
TL;DR: In this article, a combination of two-photon lithography and electrochemical deposition is used to construct 3D magnetic nanostructures of complex geometry, and magnetic properties are found to be intimately related to the 3D geometry of the structure.
Abstract: Ferromagnetic materials have been utilised as recording media within data storage devices for many decades. Confinement of the material to a two dimensional plane is a significant bottleneck in achieving ultra-high recording densities and this has led to the proposition of three dimensional (3D) racetrack memories that utilise domain wall propagation along nanowires. However, the fabrication of 3D magnetic nanostructures of complex geometry is highly challenging and not easily achievable with standard lithography techniques. Here, by using a combination of two-photon lithography and electrochemical deposition, we show a new approach to construct 3D magnetic nanostructures of complex geometry. The magnetic properties are found to be intimately related to the 3D geometry of the structure and magnetic imaging experiments provide evidence of domain wall pinning at a 3D nanostructured junction.

Journal ArticleDOI
01 Mar 2017-Small
TL;DR: A thermocouple with a thickness of 10 µm and a height of 250 µm, consisting of platinum and gold pillars is demonstrated, which enables manufacturing in the micrometer to millimeter range, i.e., between lithography and other 3D printing technologies.
Abstract: Slender, out-of-plane metal microdevices are made in a new spatial domain, by using laser-induced forward transfer (LIFT) of metals. Here, a thermocouple with a thickness of 10 µm and a height of 250 µm, consisting of platinum and gold pillars is demonstrated. Multimaterial LIFT enables manufacturing in the micrometer to millimeter range, i.e., between lithography and other 3D printing technologies.

Journal ArticleDOI
TL;DR: In this paper, a comprehensive review summarizes recent advances in the fabrication of well-ordered block copolymer (BCP) thin films by different methods, focusing on the development of silicon-containing BCPs as candidates for lithographic applications.

Journal ArticleDOI
TL;DR: In this paper, the authors describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.
Abstract: Abstract Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML’s NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

Journal ArticleDOI
TL;DR: Scanning microwave microscopy is used to image and electronically characterize three-dimensional phosphorus nanostructures fabricated via scanning tunneling microscope–based lithography and suggests that SMM could aid the development of fabrication processes for surface code quantum computers.
Abstract: It is now possible to create atomically thin regions of dopant atoms in silicon patterned with lateral dimensions ranging from the atomic scale (angstroms) to micrometers. These structures are building blocks of quantum devices for physics research and they are likely also to serve as key components of devices for next-generation classical and quantum information processing. Until now, the characteristics of buried dopant nanostructures could only be inferred from destructive techniques and/or the performance of the final electronic device; this severely limits engineering and manufacture of real-world devices based on atomic-scale lithography. Here, we use scanning microwave microscopy (SMM) to image and electronically characterize three-dimensional phosphorus nanostructures fabricated via scanning tunneling microscope–based lithography. The SMM measurements, which are completely nondestructive and sensitive to as few as 1900 to 4200 densely packed P atoms 4 to 15 nm below a silicon surface, yield electrical and geometric properties in agreement with those obtained from electrical transport and secondary ion mass spectroscopy for unpatterned phosphorus δ layers containing ~1013 P atoms. The imaging resolution was 37 ± 1 nm in lateral and 4 ± 1 nm in vertical directions, both values depending on SMM tip size and depth of dopant layers. In addition, finite element modeling indicates that resolution can be substantially improved using further optimized tips and microwave gradient detection. Our results on three-dimensional dopant structures reveal reduced carrier mobility for shallow dopant layers and suggest that SMM could aid the development of fabrication processes for surface code quantum computers.

Journal ArticleDOI
TL;DR: Reversible deformations of polymeric microstructures fabricated using direct laser writing three-dimensional lithography upon immersion in various solvents are investigated and diffractive optical elements, micro-mechanical sensors and also hybrid deformable structures are described, that can be used to implement micro-actuation,micro-sensing, and other functionalities highly sought for micro-optical, Micro-Mechanical, and micro-fluidic systems.
Abstract: We investigate reversible deformations of polymeric microstructures fabricated using direct laser writing three-dimensional lithography upon immersion in various solvents. Swelling and shrinkage of sub-micrometre size features are induced by interaction with surrounding solvent and such deformations can be exploited to create larger structures whose size, shape, and other structural parameters depend on the surroundings. We describe diffractive optical elements, micro-mechanical sensors and also hybrid deformable structures, that can be used to implement micro-actuation, micro-sensing, and other functionalities highly sought for micro-optical, micro-mechanical, and micro-fluidic systems.

Journal ArticleDOI
01 Nov 2017-ACS Nano
TL;DR: This work studied the transfer reliability and the achievable resolution as a function of applied temperature and force in thermal scanning probe lithography (t-SPL), and found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation.
Abstract: High-resolution lithography often involves thin resist layers which pose a challenge for pattern characterization. Direct evidence that the pattern was well-defined and can be used for device fabrication is provided if a successful pattern transfer is demonstrated. In the case of thermal scanning probe lithography (t-SPL), highest resolutions are achieved for shallow patterns. In this work, we study the transfer reliability and the achievable resolution as a function of applied temperature and force. Pattern transfer was reliable if a pattern depth of more than 3 nm was reached and the walls between the patterned lines were slightly elevated. Using this geometry as a benchmark, we studied the formation of 10–20 nm half-pitch dense lines as a function of the applied force and temperature. We found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation. At this temperature, there still is con...

Proceedings ArticleDOI
05 Jun 2017
TL;DR: In this article, the 4.7nm CMOS FinFET technology featuring EUV lithography, 4th gen. dual Fin and 2nd gen. multi-eWF gate stack is presented, providing 20% faster speed or consuming 35% less total power over 10nm technology.
Abstract: 7nm CMOS FinFET technology featuring EUV lithography, 4th gen. dual Fin and 2nd gen. multi-eWF gate stack is presented, providing 20% faster speed or consuming 35% less total power over 10nm technology [1]. EUV lithography, fully applied to MOL contacts and minimum-pitched metal/via interconnects, can reduce >25% mask steps with higher fidelity and smaller CD variation. A VT of 6T HD SRAM cell are 1.29 for PD (PG) and 1.34 for PU, respectively.

Journal ArticleDOI
TL;DR: The results presented in this work provide a means to map both optical-field-controlled electron emission and hot-electron transfer from nanoparticles via chemical transformations produced locally in lithographic materials.
Abstract: Understanding plasmon-mediated electron emission and energy transfer on the nanometer length scale is critical to controlling light–matter interactions at nanoscale dimensions. In a high-resolution lithographic material, electron emission and energy transfer lead to chemical transformations. In this work, we employ such chemical transformations in two different high-resolution electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and hydrogen silsesquioxane (HSQ), to map local electron emission and energy transfer with nanometer resolution from plasmonic nanoantennas excited by femtosecond laser pulses. We observe exposure of the electron-beam resists (both PMMA and HSQ) in regions on the surface of nanoantennas where the local field is significantly enhanced. Exposure in these regions is consistent with previously reported optical-field-controlled electron emission from plasmonic hotspots as well as earlier work on low-electron-energy scanning probe lithography. For HSQ, in addition to expo...

Journal ArticleDOI
TL;DR: In this paper, the substrate conformal imprint lithography (SCIL) method was proposed for large-scale nano-patterning on a substrate with nanometer resolution, low patterns distortion, and overlay alignment.
Abstract: Abstract Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3–4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

Proceedings ArticleDOI
TL;DR: In this article, a local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data, and a dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage.
Abstract: Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

Journal ArticleDOI
TL;DR: In this paper, a plasmonic cavity lithography is proposed to fabricate metasurface holograms, which can effectively amplify the evanescent waves and modulate the electric field components on imaging plane.
Abstract: Metasurface holograms consisting of nanostructures have shown great promise for various applications due to their unique capability of shaping light. Usually, they are fabricated by point-by-point scanning method, such as focused ion beam and electron beam lithography, which would greatly hamper their applications due to the high cost and low yield. In this work, plasmonic cavity lithography is proposed to fabricate metasurface holograms. The lithography system consists of Cr mask and plasmonic cavity that compose of 20 nm Ag/30 nm photoresist/50 nm Ag, where an air separation layer exists between them to avoid contamination and damage of mask patterns. The simulated results show that the cavity can effectively amplify the evanescent waves and modulate the electric field components on imaging plane, resulting in greatly improved resolution and fidelity compared to near field and superlens lithography. In experiments, the Au metaholograms are fabricated by the proposed lithography method and following etching processes. Furthermore, the designed holographic image of character “E” is successfully observed with the fabricated hologram. This approach is believed to open up a batch fabrication way for reproducing many copies of a metasurface hologram.

Journal ArticleDOI
TL;DR: In this article, a sub-micron Josephson junction is constructed using electron-beam lithography and an additive process to define the top and bottom electrodes of a superconducting qubit.
Abstract: Fabrication of sub-micron Josephson junctions is demonstrated using standard processing techniques for high-coherence, superconducting qubits These junctions are made in two separate lithography steps with normal-angle evaporation Most significantly, this work demonstrates that it is possible to achieve high coherence with junctions formed on aluminum surfaces cleaned in situ by Ar plasma before junction oxidation This method eliminates the angle-dependent shadow masks typically used for small junctions Therefore, this is conducive to the implementation of typical methods for improving margins and yield using conventional CMOS processing The current method uses electron-beam lithography and an additive process to define the top and bottom electrodes Extension of this work to optical lithography and subtractive processes is discussed

Journal ArticleDOI
TL;DR: Calculations show that by optimizing the thickness of the Be layer it should be possible to increase the reflection coefficient by another 0.5-1%.
Abstract: The effect of Be layers on the reflection coefficients of Mo/Be/Si multilayer mirrors in the extreme ultraviolet (EUV) region is reported. Samples were studied using laboratory and synchrotron based reflectometry, and high-resolution transmission electron microscopy. The samples under study have reflection coefficients above 71% at 13.5 nm and more than 72% at 12.9 nm in a near normal incidence mode. Calculations show that by optimizing the thickness of the Be layer it should be possible to increase the reflection coefficient by another 0.5–1%. These results are of considerable interest for EUV lithography.

Proceedings ArticleDOI
TL;DR: Solutions include overlay and CD metrology based on angle resolved scatterometry, scanner actuator control to enable high order overlay corrections and computational lithography optimization to minimize imaging induced pattern placement errors of devices and metrology targets.
Abstract: In this paper we discuss the edge placement error (EPE) for multi-patterning semiconductor manufacturing. In a multi-patterning scheme the creation of the final pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. We describe the fidelity of the final pattern in terms of EPE, which is defined as the relative displacement of the edges of two features from their intended target position. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As an experimental test vehicle we use the 7-nm logic device patterning process flow as developed by IMEC. This patterning process is based on Self-Aligned-Quadruple-Patterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography. The computational metrology method to determine EPE is explained. It will be shown that ArF to EUV overlay, CDU from the individual process steps, and local CD and placement of the individual pattern features, are the important contributors. Based on the error budget, we developed an optimization strategy for each individual step and for the final pattern. Solutions include overlay and CD metrology based on angle resolved scatterometry, scanner actuator control to enable high order overlay corrections and computational lithography optimization to minimize imaging induced pattern placement errors of devices and metrology targets.

Journal ArticleDOI
08 Jun 2017-ACS Nano
TL;DR: The potential of the proposed mechanism for STED-inspired direct laser writing (DLW) is demonstrated by covalently functionalizing the surface of glass substrates via the photoenol-driven STed-inspired process exploiting reversiblephotoenol activation with a polymerization initiator.
Abstract: Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels–Alder cycloaddition reaction which constitutes the ...