scispace - formally typeset
Search or ask a question

Showing papers on "Silicon nitride published in 2012"


Journal ArticleDOI
23 May 2012-ACS Nano
TL;DR: Uniform encapsulation of MoS(2) transistor structures with silicon nitride grown by plasma-enhanced chemical vapor deposition is effective in minimizing the hysteresis, while the device mobility is improved by over 1 order of magnitude.
Abstract: Field effect transistors using ultrathin molybdenum disulfide (MoS2) have recently been experimentally demonstrated, which show promising potential for advanced electronics. However, large variations like hysteresis, presumably due to extrinsic/environmental effects, are often observed in MoS2 devices measured under ambient environment. Here, we report the origin of their hysteretic and transient behaviors and suggest that hysteresis of MoS2 field effect transistors is largely due to absorption of moisture on the surface and intensified by high photosensitivity of MoS2. Uniform encapsulation of MoS2 transistor structures with silicon nitride grown by plasma-enhanced chemical vapor deposition is effective in minimizing the hysteresis, while the device mobility is improved by over 1 order of magnitude.

951 citations


Journal ArticleDOI
TL;DR: In this article, the injection-dependent carrier recombination for a broad range of dopant concentrations of high-purity $n$-type and $p$)-type silicon wafers passivated with state-of-the-art dielectric layers of aluminum oxide or silicon nitride was studied.
Abstract: An accurate quantitative description of the Auger recombination rate in silicon as a function of the dopant density and the carrier injection level is important to understand the physics of this fundamental mechanism and to predict the physical limits to the performance of silicon based devices. Technological progress has permitted a near suppression of competing recombination mechanisms, both in the bulk of the silicon crystal and at the surfaces. This, coupled with advanced characterization techniques, has led to an improved determination of the Auger recombination rate, which is lower than previously thought. In this contribution we present a systematic study of the injection-dependent carrier recombination for a broad range of dopant concentrations of high-purity $n$-type and $p$-type silicon wafers passivated with state-of-the-art dielectric layers of aluminum oxide or silicon nitride. Based on these measurements, we develop a general parametrization for intrinsic recombination in crystalline silicon at 300 K consistent with the theory of Coulomb-enhanced Auger and radiative recombination. Based on this improved description we are able to analyze physical aspects of the Auger recombination mechanism such as the Coulomb enhancement.

775 citations


Journal ArticleDOI
TL;DR: The investigation shows how sensitive the refractive index functions are to the O2 and N2 flow rates, and for which growth conditions the materials deposit homogeneously, and allows conclusions to be drawn on the degree of amorphousness and roughness.
Abstract: The complex refractive index components, n and k, have been studied for thin films of several common dielectric materials with a low to medium refractive index as functions of wavelength and stoichiometry for mid-infrared (MIR) wavelengths within the range 1.54–14.29 μm (700–6500 cm−1). The materials silicon oxide, silicon nitride, aluminum oxide, aluminum nitride, and titanium oxide are prepared using room temperature reactive sputter deposition and are characterized using MIR variable angle spectroscopic ellipsometry. The investigation shows how sensitive the refractive index functions are to the O2 and N2 flow rates, and for which growth conditions the materials deposit homogeneously. It also allows conclusions to be drawn on the degree of amorphousness and roughness. To facilitate comparison of the materials deposited in this work with others, the index of refraction was also determined and provided for the near-IR and visible ranges of the spectrum. The results presented here should serve as a useful information base for designing optical coatings for the MIR part of the electromagnetic spectrum. The results are parameterized to allow them to be easily used for coating design.

724 citations


Journal ArticleDOI
TL;DR: It is shown that a sizeable second-order nonlinearity at optical wavelengths is induced in a silicon waveguide by using a stressing silicon nitride overlayer and envisage that nonlinear strained silicon could provide a competing platform for a new class of integrated light sources spanning the near- to mid-infrared spectrum from 1.2 to 10 μm.
Abstract: Photonic devices on silicon offer the benefit of combining advanced electronic functionality with the high bandwidth of silicon photonics. Now, efficient second-order nonlinear activity in silicon waveguides strained by a silicon nitride top layer considerably advances the potential of all-optical data management on a silicon platform.

297 citations


Journal ArticleDOI
10 May 2012-Nature
TL;DR: This work reproducibly created three distinct crack morphologies—straight, oscillatory and orderly bifurcated (stitchlike)—through careful selection of processing conditions and parameters in a film/substrate system comprising a silicon nitride thin film deposited on a silicon substrate using low-pressure chemical vapour deposition.
Abstract: Propagating cracks—normally associated with material failure and viewed as undesirable—can be controlled in a film/substrate system, opening up new possibilities for nanofabrication and atomic-scale patterning. Crack propagation is usually associated with materials failure, and as such is to be avoided. In the right place, however, crack formation can be useful. A team based in South Korea has developed a technique that harnesses crack initiation, propagation and termination to create patterns in a silicon nitride thin film deposited on top of a silicon substrate. Nam et al. introduce into the substrate notches that concentrate stress to initiate cracks spontaneously during deposition; they also define three modes of crack propagation and prepare multistep structures in the silicon substrate to terminate propagation at specific locations. They even bend cracks in a way that resembles the refraction of light. This concept opens up new possibilities for nanofabrication and patterning using fracture mechanics in applications such as nanotechnology and micro-scale fluidic devices. Crack formation drives material failure and is often regarded as a process to be avoided1,2,3. However, closer examination of cracking phenomena has revealed exquisitely intricate patterns such as spirals4, oscillating5,6,7 and branched7 fracture paths and fractal geometries8. Here we demonstrate the controlled initiation, propagation and termination of a variety of channelled crack patterns in a film/substrate system9,10,11 comprising a silicon nitride thin film deposited on a silicon substrate using low-pressure chemical vapour deposition. Micro-notches etched into the silicon substrate concentrated stress for crack initiation, which occurred spontaneously during deposition of the silicon nitride layer. We reproducibly created three distinct crack morphologies—straight, oscillatory and orderly bifurcated (stitchlike)—through careful selection of processing conditions and parameters. We induced direction changes by changing the system parameters, and we terminated propagation at pre-formed multi-step crack stops. We believe that our patterning technique presents new opportunities in nanofabrication and offers a starting point for atomic-scale pattern formation12, which would be difficult even with current state-of-the-art nanofabrication methodologies.

220 citations


Journal ArticleDOI
TL;DR: It is shown that size-mismatch between host and dopant cations tunes photoluminescence shifts systematically in M(1.95)Eu(0.05)Si(5-x)Al(x)N(8- x)O( x) lattices, leading to a red shift when the M = Ba and Sr host cations are larger than the Eu(2+) dopant, but a blue shift when that host is smaller.
Abstract: Red or yellow phosphors excited by a blue light-emitting diode are an efficient source of white light for everyday applications. Many solid oxides and nitrides, particularly silicon nitride-based m...

207 citations


Patent
09 Mar 2012
TL;DR: In this paper, a method of selectively etching silicon nitride from a substrate comprising a silicon oxide layer and a silicon dioxide layer is proposed. But the method requires the substrate to be exposed to the reactive gas in the gas reaction region of the substrate processing chamber.
Abstract: A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

170 citations


Journal ArticleDOI
01 Aug 2012-Carbon
TL;DR: In this paper, the authors measured the electrical conductivity along the direction perpendicular to the spark plasma sintering (SPS) pressing axis, which is more than one order of magnitude higher than the one measured along the parallel direction.

164 citations


Patent
04 Apr 2012
TL;DR: In this paper, the authors described a remote plasma etch formed from a fluorine-containing precursor and a hydrogen-containing precursor, where the plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon while very slowly removing other exposed materials.
Abstract: Methods of etching exposed silicon on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a hydrogen-containing precursor Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the exposed regions of silicon The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon while very slowly removing other exposed materials The silicon selectivity results, in part, from a preponderance of hydrogen-containing precursor in the remote plasma which hydrogen terminates surfaces on the patterned heterogeneous structures A much lower flow of the fluorine-containing precursor progressively substitutes fluorine for hydrogen on the hydrogen-terminated silicon thereby selectively removing silicon from exposed regions of silicon The methods may be used to selectively remove silicon far faster than silicon oxide, silicon nitride and a variety of metal-containing materials

161 citations


Patent
Yunyu Wang1, Anchuan Wang1, Jingchun Zhang1, Nitin K. Ingle1, Young S. Lee1 
18 Apr 2012
TL;DR: In this paper, a method of suppressing the etch rate for exposed silicon andoxygen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch.
Abstract: A method of suppressing the etch rate for exposed silicon-and-oxygen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. Examples of materials whose selectivity is increased using this technique include silicon nitride and silicon. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-oxygen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including a nitrogen-containing precursor and a hydrogen-containing precursor. The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor.

161 citations


Patent
23 Aug 2012
TL;DR: In this article, a method of etching a substrate comprises forming on the substrate, a plurality of double patterning features composed of silicon oxide, silicon nitride, or silicon oxynitride.
Abstract: A method of etching a substrate comprises forming on the substrate, a plurality of double patterning features composed of silicon oxide, silicon nitride, or silicon oxynitride The substrate having the double patterning features is provided to a process zone An etching gas comprising nitrogen tri-fluoride, ammonia and hydrogen is energized in a remote chamber The energized etching gas is introduced into the process zone to etch the double patterning features to form a solid residue on the substrate The solid residue is sublimated by heating the substrate to a temperature of at least about 100° C

Journal ArticleDOI
TL;DR: In this paper, the microstructure and mechanical properties of the graphene reinforced silicon nitride based composite materials have been investigated, and it has been shown that graphene platelets are inducing porosity in matrix.

Journal ArticleDOI
TL;DR: In this article, the reflection and absorption losses of textured Si wafers coated with various SiNx films are quantified using 2D modeling. And it is shown that very good optical and excellent surface passivation quality can be realized on textured silicon wafer using inline deposited plasma silicon nitride, which is the state-of-the-art antireflection coating for silicon wafer solar cells.

Journal ArticleDOI
TL;DR: In this paper, the electrostatic and performance of cylindrical silicon nanowire (NW) MOSFETs with an omega-shaped gate and diameters down to 8 nm are investigated.
Abstract: In this letter, the electrostatic and the performance of cylindrical silicon nanowire (NW) MOSFETs with an omega-shaped gate and diameters down to 8 nm are investigated. The impact of silicon nitride (SiN) spacer thickness (7, 10, or 15 nm) on short-channel performance is examined. The tradeoff between superior electrostatic confinement and electrical performance, which will be an essential consideration for the design of future NW devices, is clearly observed. Finally, a comparison with trigate NWs shows an improved electrostatic control for a cylindrical-shaped gate, as theoretically expected.

Patent
16 Jan 2012
TL;DR: In this article, a method of forming a semiconductor device is disclosed, where Nitrogen layers of an IPD stack are deposited using silane and a nitrogen plasma to yield a nitride layer plasma treated through its entire thickness.
Abstract: A method of forming a semiconductor device is disclosed. Nitrogen layers of an IPD stack are deposited using silane and a nitrogen plasma to yield a nitride layer plasma treated through its entire thickness. In addition to nitriding the bottom nitride layer of the stack, the middle nitride layer may also be nitrided. Depositing silicon from silane in a nitrogen plasma may be accomplished using high density plasma, ALD, or remote plasma processes. Elevated temperature may be used during deposition to reduce residual hydrogen in the deposited layer.

Patent
15 May 2012
TL;DR: In this article, the authors describe methods of making silicon nitride (SiN) materials and other silicon-containing films, including carbon-containing and/or oxygencontaining films such as SiCN (also referred to as SiNC), SiON and SiONC films, on substrates.
Abstract: Described are methods of making silicon nitride (SiN) materials and other silicon-containing films, including carbon-containing and/or oxygen-containing films such as SiCN (also referred to as SiNC), SiON and SiONC films, on substrates. According to various embodiments, the methods involve electromagnetic radiation-assisted activation of one or more reactants. In certain embodiments, for example, the methods involve ultraviolet (UV) activation of vapor phase amine coreactants. The methods can be used to deposit silicon-containing films, including SiN and SiCN films, at temperatures below about 400° C.

Journal ArticleDOI
28 Aug 2012-ACS Nano
TL;DR: It is verified that translocation achieved by electro-osmostic transport is an effective means of slowing translocation velocities of highly charged particles without compromising particle capture rate as compared to more traditional approaches based on electrophoretic transport.
Abstract: We observe single nanoparticle translocation events via resistive pulse sensing using silicon nitride pores described by a range of lengths and diameters. Pores are prepared by focused ion beam milling in 50 nm-, 100 nm-, and 500 nm-thick silicon nitride membranes with diameters fabricated to accommodate spherical silica nanoparticles with sizes chosen to mimic that of virus particles. In this manner, we are able to characterize the role of pore geometry in three key components of the detection scheme, namely, event magnitude, event duration, and event frequency. We find that the electric field created by the applied voltage and the pore’s geometry is a critical factor. We develop approximations to describe this field, which are verified with computer simulations, and interactions between particles and this field. In so doing, we formulate what we believe to be the first approximation for the magnitude of ionic current blockage that explicitly addresses the invariance of access resistance of solid-state p...

Journal ArticleDOI
TL;DR: In this paper, a print-on-print process for the silver front side metallization is used to reduce the finger width from 110 to 70 cm, which increases the conversion efficiency up to 18.9% due to reduced shadowing loss.
Abstract: We have implemented a baseline solar cell process based on today's standard industrially manufactured silicon solar cells. Using this process, we achieve conversion efficiencies up to 18.5% applying 125 × 125 mm² pseudo-square p-type 2–3 Ω cm boron-doped Czochralski silicon wafers featuring screen-printed front and rear contacts and a homogenously doped 70 Ω/□ n+-emitter. Optimizing a print-on-print process for the silver front side metallization, we reduce the finger width from 110 to 70 µm, which increases the conversion efficiency up to 18.9% due to the reduced shadowing loss. In order to further increase the efficiency, we implement two different dielectric rear surface passivation stacks: (i) a silicon dioxide/silicon nitride stack and (ii) an aluminium oxide/silicon nitride stack. The rear contacts to the silicon base are formed by local laser ablation of the passivation stack and aluminium screen printing. The dielectric layer stacks at the rear decrease the surface recombination velocity from Seff,rear = 350 cm/s for a full-area Al back surface field down to Seff,rear = 70 cm/s and increase the internal reflectance from 61% up to 91%. The improved solar cell rear increases the conversion efficiency η up to an independently confirmed value of 19.4%, the short-circuit current density Jsc up to 38.9 mA/cm² and the open-circuit voltage Voc up to 662 mV. The detailed solar cell analysis reveals potential to further increase the conversion efficiency towards 20% in the near future. Copyright © 2011 John Wiley & Sons, Ltd.

Journal ArticleDOI
TL;DR: In conclusion, dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition shows that magnitude and bandwidth of anomalous dispersion can be significantly increased.
Abstract: We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

Journal ArticleDOI
TL;DR: In this paper, an angle-tuned guided-mode resonance color filter is experimentally demonstrated, which exhibits blue, green, and red color responses at incident angles of 8 $^{circ}$, 20 $^{\circ}µ, and 35 $^µ$, respectively.
Abstract: A new angle-tuned guided-mode resonance color filter is experimentally demonstrated. The device is designed using numerical methods and patterned using laser interferometric lithography. It consists of a 55-nm-deep silicon nitride and air diffraction grating with a 270-nm grating period along with a 110-nm-thick silicon nitride waveguide layer deposited on a glass substrate. The fabricated filter exhibits blue, green, and red color responses at incident angles of 8 $^{\circ}$ , 20 $^{\circ}$ , and 35 $^{\circ}$ , respectively. It has a bandwidth of 10 nm with efficiency near 90%.

Journal ArticleDOI
30 May 2012-Wear
TL;DR: In this paper, the authors provide a better understanding of the tribology of silicon nitride, an engineering ceramic with many applications in the automotive and aerospace industry, and provide a working model based on the tribo-emission process of low-energy electrons during friction with generation of positively charged silicon sites and nitrogen free radicals.

Journal ArticleDOI
TL;DR: In this article, a hierarchical structure was developed for a two-tier texturing method for lower light reflection using an antireflection coating (ARC) to reduce light reflectance via destructive interference of the reflected light at the air-ARC-substrate interfaces.
Abstract: Low conversion efficiency is still the main limiting factor for current solar-cell technologies. A large portion of the energy loss during solar-cell operation is attributed to optical loss, namely the loss of the incoming light by reflection.[1] To reduce the reflection loss, surface texturing and antireflection coatings are the most-commonly used strategies.[2–4] Surface texturing is able to enhance light trapping by multiplying the internal reflections. The industrial standard for the current Si-based photovoltaic (PV) industry process is alkaline fabrication of micrometer-sized pyramid textures. An emerging focus today is the incorporation of nanostructures as surface-texturing materials.[5–8] For example, porous Si and Si nanowires (NWs) have been applied to solar cells to effectively reduce the reflection loss.[9–11] Xiu et al. developed a hierarchical structure through a two-tier texturing method for lower light reflection.[12] Using an antireflection coating (ARC) is another method to reduce light reflectance via destructive interference of the reflected light at the air–ARC–substrate interfaces. A single-layer ARC, such as silicon nitride (SiNx), is the industry standard ARC on Si PVs.[13] A further reduction of reflection can be achieved through a multilayer ARC or fine control of the ARC geometry, which enables a gradual transition of the refraction index from air to the silicon.[14,15] As an outstanding 1D nanostructure, ZnO NWs have a high transparency due to the wide bandgap, appropriate refractive index (n ≈ 2 at 600 nm), and the capability of forming a textured coating on virtually any substrate.[16–19] These characteristics make it an attractive dielectric ARC material for PV applications. By the integration of ZnO NWs with optical fibers and quartz waveguides, an enhancement of the efficiency by a factor of 4–6 has been shown by utilizing a three-dimensional approach.[20,21] The application of ZnO nanostructures on planar Si as an ARC for solar cells has achieved a weighted reflectance

Journal ArticleDOI
TL;DR: In this paper, the potential performance of thin silicon solar cells with either silicon (Si) or titanium dioxide (TiO2) gratings using numerical simulations was examined, and the results showed that submicron symmetric and skewed pyramids of Si or TiO2 are a highly effective way of achieving light trapping in thin film solar cells.
Abstract: Dielectric gratings are a promising method of achieving light trapping for thin crystalline silicon solar cells. In this paper, we systematically examine the potential performance of thin silicon solar cells with either silicon (Si) or titanium dioxide (TiO2) gratings using numerical simulations. The square pyramid structure with silicon nitride coating provides the best light trapping among all the symmetric structures investigated, with 89% of the expected short circuit current density of the Lambertian case. For structures where the grating is at the rear of the cell, we show that the light trapping provided by the square pyramid and the checkerboard structure is almost identical. Introducing asymmetry into the grating structures can further improve their light trapping properties. An optimized Si skewed pyramid grating on the front surface of the solar cell results in a maximum short circuit current density, Jsc, of 33.4 mA cm−2, which is 91% of the Jsc expected from an ideal Lambertian scatterer. An optimized Si skewed pyramid grating on the rear performs as well as a rear Lambertian scatterer and an optimized TiO2 grating on the rear results in 84% of the Jsc expected from an optimized Si grating. The results show that submicron symmetric and skewed pyramids of Si or TiO2 are a highly effective way of achieving light trapping in thin film solar cells. TiO2 structures would have the additional advantage of not increasing recombination within the cell.

Journal ArticleDOI
TL;DR: In this article, the surface passivation properties of aluminium oxide (Al2O3) on crystalline Si are compared with the traditional passivation system of silicon nitride (SiNx).

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition.
Abstract: We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition (ALD). Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. All results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

Journal ArticleDOI
TL;DR: In this article, a microelectro-mechanical system-based experimental technique was used to measure thermal conductivity of freestanding ultra-thin films of amorphous silicon nitride (Si3N4) as a function of mechanical strain.
Abstract: We present a micro-electro-mechanical system-based experimental technique to measure thermal conductivity of freestanding ultra-thin films of amorphous silicon nitride (Si3N4) as a function of mechanical strain. Using a combination of infrared thermal micrography and multi-physics simulation, we measured thermal conductivity of 50 nm thick silicon nitride films to observe it decrease from 2.7 W (m K)?1?at zero strain to 0.34 W (m K)?1?at about 2.4% tensile strain. We propose that such strong strain?thermal conductivity coupling is due to strain effects on fraction?phonon interaction that decreases the dominant hopping mode conduction in the amorphous silicon nitride specimens.

Journal ArticleDOI
TL;DR: In this paper, thermal conduction in Si3N4 composites with different amounts of carbon nanostructures was studied and the effects of the nanostructure orientation respect the heat flux, the testing temperature and the α/β Si3n4 phase ratio.
Abstract: Silicon nitride (Si3N4) composites containing carbon nanotubes (CNTs) or graphene nanoplateles (GNPs) are of great relevance in the electronic and aerospace industries where the search for new materials with enhanced and anisotropic thermal conductivity to work in harsh environments is a strategic guideline. Here we study thermal conduction in Si3N4 composites with different amounts of carbon nanostructures. The effects of the nanostructure orientation respect the heat flux, the testing temperature and the α/β Si3N4 phase ratio are analyzed. The addition of CNTs and GNPs leads to an anisotropic thermal response, decreasing the through-thickness thermal conductivity of the Si3N4 composites and raising the in-plane thermal conductivity, especially for GNPs that enhance it up to twice that of the monolithic Si3N4. This effect is related to the preferred orientation of the nanostructures that gives a less resistive network in the in-plane direction and the intrinsic anisotropy of their thermal conductivity.

Journal ArticleDOI
TL;DR: In this article, strong second-harmonic generation from silicon nitride films prepared on fused silica substrates by plasma enhanced chemical vapor deposition was observed, and the components of the second-order nonlinear optical susceptibility tensor of the films were calibrated against quartz crystal.
Abstract: We observe strong second-harmonic generation from silicon nitride films prepared on fused silica substrates by plasma enhanced chemical vapor deposition. The components of the second-order nonlinear optical susceptibility tensor of the films are calibrated against quartz crystal. The dominant component has the magnitude of 2.5 pm/V, almost two orders of magnitude larger than reported for Si3N4, and about three times larger than for the traditional nonlinear crystal of potassium dihydrogen phosphate. The results indicate that silicon nitride has great potential for second-order nonlinear optical devices, especially in on-chip nanophotonics.

Journal ArticleDOI
TL;DR: The method has been applied to measure thermal properties of low stress silicon nitride and polycrystalline diamond membranes with thickness ranging from 100 nm to 400 nm and support a significant grain size effect on the thermal transport.
Abstract: A suspended system for measuring the thermal properties of membranes is presented. The sensitive thermal measurement is based on the 3ω dynamic method coupled to a Volklein geometry. The device obtained using micro-machining processes allows the measurement of the in-plane thermal conductivity of a membrane with a sensitivity of less than 10 nW/K (+/−5 × 10−3 Wm−1 K−1 at room temperature) and a very high resolution (ΔK/K = 10−3). A transducer (heater/thermometer) centered on the membrane is used to create an oscillation of the heat flux and to measure the temperature oscillation at the third harmonic using a Wheatstone bridge set-up. Power as low as 0.1 nW has been measured at room temperature. The method has been applied to measure thermal properties of low stress silicon nitride and polycrystalline diamond membranes with thickness ranging from 100 nm to 400 nm. The thermal conductivity measured on the polycrystalline diamond membrane support a significant grain size effect on the thermal transport.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate a complementary metaloxide-semiconductor compatible on-chip multiple-wavelength source by filtering and modulating individual comb lines from a parametric optical frequency comb generated in a silicon nitride microring resonator.
Abstract: We demonstrate a stable complementary metal-oxide-semiconductor-compatible on-chip multiple-wavelength source by filtering and modulating individual comb lines from a parametric optical frequency comb generated in a silicon nitride microring resonator. We show comb operation in a stable low-noise state. Bit-error rate measurements demonstrate negligible power penalty from six independent frequency comb lines when compared with a tunable diode laser baseline. Open eye diagrams confirm the fidelity of the 10 Gb/s data transmitted at the comb frequencies and the suitability of this device for use as a fully integrated silicon-based wavelength-division-multiplexing source.