scispace - formally typeset
Search or ask a question

Showing papers on "Metal gate published in 2008"


Patent
20 Oct 2008
TL;DR: In this article, a method for fabricating an AMOLED pixel includes forming a transparent semiconductor layer on a substrate and forming a first channel layer of the switch TFT, a lower electrode of a storage capacitor and a second channel of a driving TFT.
Abstract: A method for fabricating an AMOLED pixel includes forming a transparent semiconductor layer on a substrate and forming a first channel layer of the switch TFT, a lower electrode of a storage capacitor and a second channel layer of a driving TFT. A first dielectric layer is formed over the substrate. A first opaque metal gate of the switch TFT, a second opaque metal gate of the driving TFT and a scan line are formed on the first dielectric layer. A first source and a first drain of the switch TFT are formed in the first channel layer and a second source and a second drain of the switch TFT are formed in the second channel layer. A patterned transparent metal layer is formed on the first dielectric layer. A data line is formed over the substrate. An OLED is formed over the substrate.

1,016 citations


Proceedings ArticleDOI
17 Jun 2008
TL;DR: In this article, two key process features that are used to make 45 nm generation metal gate + high-k gate dielectric CMOS transistors are highlighted in this paper.
Abstract: Two key process features that are used to make 45 nm generation metal gate + high-k gate dielectric CMOS transistors are highlighted in this paper. The first feature is the integration of stress-enhancement techniques with the dual metal-gate + high-k transistors. The second feature is the extension of 193 nm dry lithography to the 45 nm technology node pitches. Use of these features has enabled industry-leading transistor performance and the first high volume 45 nm high-k + metal gate technology.

266 citations


Patent
Kangguo Cheng1
04 Jun 2008
TL;DR: In this article, a high-k gate dielectric/metal gate MOSFET with a reduced parasitic capacitance is presented, where the gate spacer is located upon an upper surface of both the gate and the highk gate.
Abstract: The present invention provides a high-k gate dielectric/metal gate MOSFET that has a reduced parasitic capacitance. The inventive structure includes at least one metal oxide semiconductor field effect transistor (MOSFET) 100 located on a surface of a semiconductor substrate 12. The least one MOSFET 100 includes a gate stack including, from bottom to top, a high-k gate dielectric 28 and a metal-containing gate conductor 30. The metal- containing gate conductor 30 has gate corners 31 located at a base segment of the metal- containing gate conductor. Moreover, the metal-containing gate conductor 30 has vertically sidewalls 102A and 102B devoid of the high-k gate dielectric 28 except at the gate corners 31. A gate dielectric 18 laterally abuts the high-k gate dielectric 28 present at the gate corners 31 and a gate spacer 36 laterally abuts the metal-containing gate conductor 30. The gate spacer 36 is located upon an upper surface of both the gate dielectric 18 and the high-k gate dielectric that is present at the gate corners 31.

231 citations


Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this paper, the authors investigated the sources responsible for local and inter-die threshold voltage variability in undoped ultra-thin FDSOI MOSFETs with a high-k/metal gate stack.
Abstract: Sources responsible for local and inter-die threshold voltage (Vt) variability in undoped ultra-thin FDSOI MOSFETs with a high-k/metal gate stack are experimentally discriminated for the first time. Charges in the gate dielectric and/or TiN gate workfunction fluctuations are determined as major contributors to the local Vt variability and it is found that SOI thickness (TSi) variations have a negligible impact down to TSi=7 nm. Moreover, TSi scaling is shown to limit both local and inter-die Vt variability induced by gate length fluctuations. The highest matching performance ever reported for 25 nm gate length MOSFETs is achieved (AVt=0.95 mV.mum), demonstrating the effectiveness of the undoped ultra-thin FDSOI architecture in terms of Vt variability control.

173 citations


Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this article, it was shown that by integrating a thin ferroelectric layer into a gate stack of a standard MOS transistor one, it is possible to overcome the 60 mV/decade sub-threshold swing limit at room temperature of MOSFETs.
Abstract: This work experimentally demonstrates, for the first time, that by integrating a thin ferroelectric layer into a gate stack of a standard MOS transistor one, it is possible to overcome the 60 mV/decade subthreshold swing limit at room temperature of MOSFET. We find sub-threshold swings as low as 13 mV/decade in Fe-FETs with 40 nm P(VDF-TrFE)/SiO2 gate stack. The mechanism governing the low subthreshold swing in Fe-FET transistors is the negative capacitance of the ferroelectric layer that provides voltage amplification; with our particular ferroelectric gate stack we report for the first time negative capacitance at room temperature.

143 citations


Journal ArticleDOI
TL;DR: In this paper, the authors examined the origin of the flatband voltage (VFB) shift in metal-oxide-semiconductor capacitors by employing bilayer high-k gate dielectrics consisting of HfO2 and Al2O3 on the interfacial SiO2 layer.
Abstract: We have examined an origin of the flatband voltage (VFB) shift in metal-oxide-semiconductor capacitors by employing bilayer high-k gate dielectrics consisting of HfO2 and Al2O3 on the interfacial SiO2 layer. We found that the high-k∕SiO2 interface affects the VFB shift through an electrical dipole layer formation at its interface, regardless of the gate electrode materials. Furthermore, we demonstrated that the VFB shift in the metal/high-k gate stack is determined only by the dipole at high-k∕SiO2 interface, while for the Si-based gate it is determined by both gate/high-k and high-k∕SiO2 interfaces.

141 citations


Patent
23 Sep 2008
TL;DR: In this paper, a selective annealing process is used to selectively convert a compressive metal gate film formed over the PMOS device to the tensile stress metal gate films.
Abstract: A CMOS FinFET semiconductor device provides an NMOS FinFET device that includes a compressive stress metal gate layer over semiconductor fins and a PMOS FinFET device that includes a tensile stress metal gate layer over semiconductor fins. A process for forming the same includes a selective annealing process that selectively converts a compressive metal gate film formed over the PMOS device to the tensile stress metal gate film.

139 citations


Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this paper, a 3D stacked sub-15 nm diameter NanoWire FinFET-like CMOS technology (3D-NWFET) with a new optional independent gate nanowire structure named PhiFET is reported.
Abstract: For the first time, we report a 3D stacked sub-15 nm diameter NanoWire FinFET-like CMOS technology (3D-NWFET) with a new optional independent gate nanowire structure named PhiFET. Extremely high driving currents for 3D-NWFET (6.5 mA/mum for NMOS and 3.3 mA/mum for PMOS) are demonstrated thanks to the 3D configuration using a high-k/metal gate stack. Co-processed reference FinFETs with fin widths down to 6 nm are achieved with record aspect ratios of 23. We show experimentally that the 3D-NWFET, compared to a co-processed FinFET, relaxes by a factor of 2.5 the channel width requirement for a targeted DIBL and improves transport properties. PhiFET exhibits significant performance boosts compared to Independent-Gate FinFET (IG-FinFET): a 2-decade smaller IOFF current and a lower subthreshold slope (82 mV/dec. instead of 95 mV/dec.). This highlights the better scalability of 3D-NWFET and PhiFET compared to FinFET and IG-FinFET, respectively.

126 citations


Journal ArticleDOI
TL;DR: In this paper, a self-aligned inversion-channel In0.53Ga0.47 MOSFET with gate dielectric of Al2O3(2nmthick)∕GGO(5 nmthick), a maximum drain current of 1.05A∕mm, a transconductance of 714mS∕m, and a peak mobility of 1300cm2∕Vs have been achieved, the highest ever reported for III-V inversion channel devices of 1μm gate length.
Abstract: Self-aligned inversion-channel In0.53Ga0.47As metal-oxide-semiconductor field-effect transistors (MOSFETs) using ultrahigh-vacuum deposited Al2O3∕Ga2O3(Gd2O3) (GGO) dual-layer dielectrics and a TiN metal gate were fabricated. For a In0.53Ga0.47As MOSFET using a gate dielectric of Al2O3(2nmthick)∕GGO(5nmthick), a maximum drain current of 1.05A∕mm, a transconductance of 714mS∕mm, and a peak mobility of 1300cm2∕Vs have been achieved, the highest ever reported for III-V inversion-channel devices of 1μm gate length.

125 citations


Patent
01 Dec 2008
TL;DR: In this paper, a layout design method for a semiconductor device includes a step of arranging transistors, a dummy gate forming step of forming dummy gates, which has a shape identical with a shape including gate electrodes or the gate electrodes, in positions in parallel with and a fixed distance apart from the gate electrode arranged at both ends in a gate length direction on active regions of the transistors.
Abstract: A layout design method for a semiconductor device includes a step of arranging transistors, a dummy gate forming step of forming dummy gates, which has a shape identical with a shape including gate electrodes or the gate electrodes and projected parts from active regions of the gate electrodes, in positions in parallel with and a fixed distance apart from the gate electrodes arranged at both ends in a gate length direction on active regions of the transistors and, when the transistors have plural gate electrodes with different gate widths, extending the projected parts to the outside of the active regions by a necessary length, a gate connecting step of, when gate patterns and contact regions are connected to the gate electrodes of the transistors, connecting the gate electrodes and the dummy gates according to a positional relation between the gate electrodes and the dummy gates, and a wiring step of wiring a metal layer. It is possible to design a semiconductor device having a smaller area than that in the past and with a less design man-hour.

116 citations


Proceedings ArticleDOI
17 Jun 2008
TL;DR: In this article, a 32 nm high-k/metal gate (HK-MG) low power CMOS platform technology with low standby leakage transistors and functional high-density SRAM with a cell size of 0.157 mum2 was demonstrated.
Abstract: For the first time, we have demonstrated a 32 nm high-k/metal gate (HK-MG) low power CMOS platform technology with low standby leakage transistors and functional high-density SRAM with a cell size of 0.157 mum2. Record NMOS/PMOS drive currents of 1000/575 muA/mum, respectively, have been achieved at 1 nA/mum off-current and 1.1 V Vdd with a low cost process. With this high performance transistor, Vdd can be further scaled to 1.0 V for active power reduction. Through aggressive EOT scaling and band-edge work-function metal gate stacks, appropriate Vts and superior short channel control has been achieved for both NMOS and PMOS at Lgate = 30 nm. Compared to SiON-Poly, 30% RO delay reduction has been demonstrated with HK-MG devices. 40% Vt mismatch reduction has been shown with the Tinv scaling. Furthermore, it has been shown that the 1/f noise and transistor reliability exceed the technology requirements.

Patent
26 Aug 2008
TL;DR: In this paper, a method for fabricating metal gate transistor is described, in which a substrate having a first transistor region and a second transistor region is provided, and a stacked film is formed on the substrate, which includes at least one high-k dielectric layer and a first metal layer.
Abstract: A method for fabricating metal gate transistor is disclosed First, a substrate having a first transistor region and a second transistor region is provided Next, a stacked film is formed on the substrate, in which the stacked film includes at least one high-k dielectric layer and a first metal layer The stacked film is patterned to form a plurality of gates in the first transistor region and the second transistor region, a dielectric layer is formed on the gates, and a portion of the dielectric layer is planarized until reaching the top of each gates The first metal layer is removed from the gate of the second transistor region, and a second metal layer is formed over the surface of the dielectric layer and each gate for forming a plurality of metal gates in the first transistor region and the second transistor region

Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this article, the authors showed that the incorporation of C into TiN metal gates transforms the crystalline film into an amorphous one, effecting a reduction in the threshold voltage variability in HfSiON pFET devices.
Abstract: We have clarified that, in a metal/high-k gate stack, as well as the variability introduced by random dopant fluctuations (RDF), the threshold voltage variability (TVV) is attributable to the crystal structure and grain size in the metal gate. We have successfully eliminated this additional factor by reducing the grain size in the metal gate. We demonstrated that the incorporation of C into TiN metal gates transforms the crystalline film into an amorphous one, effecting a reduction in the TVV in HfSiON pFET devices. We observed that the TVV of C-incorporated TiN devices was dominated by RDF, indicating that the additional factor due to the metal gate had been diminished.

Proceedings ArticleDOI
17 Jun 2008
TL;DR: A scaled, undoped, thin-BOX, planar FBC technology is demonstrated for the first time, featuring 10-nm BOX, 25-nm SOI, high-k, metal gate, separate back-gate (BG) doping, and raised source-drain epitaxy.
Abstract: A scaled, undoped, thin-BOX, planar FBC technology is demonstrated for the first time, featuring 10-nm BOX, 25-nm SOI, high-k, metal gate, separate back-gate (BG) doping, and raised source-drain epitaxy. Retention of a minimum 3-muA sensing window for 100 ms, in devices with 60-nm gate-length (Lg) and 70-nm diffusion width (W), represents the best retention time of all sub-100-nm FBC devices. FBC scaling is predicted to be feasible at least to 40-nm Lg, enabling memory cell sizes much smaller than 6T-SRAM at 16-nm technology node. Functional 32-nm Lg devices suggest the feasibility at the 11-nm technology node.

Patent
30 Aug 2008
TL;DR: In this article, the authors proposed a method for replacing conventional gate electrode structures by high-k metal gate structures (310N, 310B, 310P) at a low level, for instance by using highly selective etch steps (322, 325, 327, 331).
Abstract: In the process sequence for replacing conventional gate electrode structures (310) by high-k metal gate structures (310N, 310B, 310P), the number of additional masking steps may be maintained at a low level, for instance by using highly selective etch steps (322, 325, 327, 331), thereby maintaining a high degree of compatibility with conventional CMOS techniques. Furthermore, the techniques disclosed herein enable compatibility to front-end process techniques and back-end process techniques, thereby allowing the integration of well-established strain- inducing mechanisms in the transistor level as well as in the contact level.

Patent
Harry Chuang1, Kong-Beng Thei1, Chiung-Han Yeh1, Ming-Yuan Wu1, Mong-Song Liang1 
21 Nov 2008
TL;DR: In this paper, a method for forming a metal gate using a gate last process is described, where a trench is formed on a substrate and the profile of the trench is modified to provide a first width at the aperture of a trench and a second width at bottom of the same trench.
Abstract: A method is provided for forming a metal gate using a gate last process. A trench is formed on a substrate. The profile of the trench is modified to provide a first width at the aperture of the trench and a second width at the bottom of the trench. The profile may be formed by including tapered sidewalls. A metal gate may be formed in the trench having a modified profile. Also provided is a semiconductor device including a gate structure having a larger width at the top of the gate than the bottom of the gate.

Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this paper, a 46 nm 6F2 buried word-line (bWL) DRAM is presented, enabling the smallest cell size of 0.013 mum2 published to date.
Abstract: We present a 46 nm 6F2 buried word-line (bWL) DRAM technology, enabling the smallest cell size of 0.013 mum2 published to date. The TiN/ W buried word-line is built below the Si surface, forming a low resistive interconnect and the metal gate of the array transistors. We demonstrate high array device on-current, small parameter variability, high reliability and small parasitic capacitances, resulting in an excellent array performance. The array device can be scaled down to 30 nm without compromising its performance.

Journal ArticleDOI
TL;DR: In this paper, a thin amorphous Si (a-Si) cap was used to passivate metal-oxide-semiconductor field effect transistors (MOSFETs).
Abstract: Highly effective passivation of GaAs surface is achieved by a thin amorphous Si (a-Si) cap, deposited by plasma enhanced chemical vapor deposition method. Capacitance voltage measurements show that carrier accumulation or inversion layer is readily formed in response to an applied electrical field when GaAs is passivated with a-Si. High performance inversion mode n-channel GaAs metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with an a-Si/high-k/metal gate stack. Drain current in saturation region of 220mA∕mm with a mobility of 885cm2∕Vs were obtained at a gate overdrive voltage of 3.25V in MOSFETs with 5μm gate length.

Patent
Bruce B. Doris1, Young-Hee Kim1, Barry P. Linder1, Vijay Narayanan1, Vamsi Paruchuri1 
16 Sep 2008
TL;DR: In this paper, a complementary metal oxide semiconductor (CMOS) structure including at least one nFET and one pFET located on a surface of a semiconductor substrate is provided.
Abstract: A complementary metal oxide semiconductor (CMOS) structure including at least one nFET and at least one pFET located on a surface of a semiconductor substrate is provided. In accordance with the present invention, the nFET and the pFET both include at least a single gate metal and the nFET gate stack is engineered to have a gate dielectric stack having no net negative charge and the pFET gate stack is engineered to have a gate dielectric stack having no net positive charge. In particularly, the present invention provides a CMOS structure in which the nFET gate stack is engineered to include a band edge workfunction and the pFET gate stack is engineered to have a ¼ gap workfunction. In one embodiment of the present invention, the first gate dielectric stack includes a first high k dielectric and an alkaline earth metal-containing layer or a rare earth metal-containing layer, while the second high k gate dielectric stack comprises a second high k dielectric.

Journal ArticleDOI
S. Pae1, J. Maiz1, Chetan Prasad1, B. Woolery1
TL;DR: The effect of PMOS transistor negative bias temperature instability on product performance is a key reliability concern as mentioned in this paper, and the trend in the V T variability at both time zero and after NBTI aging increases.
Abstract: The effect of PMOS transistor negative bias temperature instability (NBTI) on product performance is a key reliability concern. As technology scales and device dimensions shrink, the trend in the V T variability at both time zero and after NBTI aging increases. The time0 V T variability can be explained by the random nature of dopants, whereas the randomly generated defects in the gate oxide can account for the aging-induced device DeltaV T variability. This paper focuses on the bias temperature instability stress-induced device DeltaV T variability and the trend across several technology generations. The remarkable correlation of aging-induced DeltaV T variability to the gate oxide area suggests that the continued device geometry scaling will increase the aging-induced variability. For the first time, aging-induced DeltaV T variability was characterized on transistors fabricated with high-kappa gate dielectric that also showed similar dependence to the gate oxide area.

Proceedings ArticleDOI
17 Jun 2008
TL;DR: In this paper, a planar-type low-power CMOS device with a 50-nm single metal gate and an intrinsic channel was developed. But the measured Pelgrom coefficients of the SOTB were 1.8 and 1.5 for NMOS and PMOS, respectively, even in the case of relatively thick EOT of 1.9 nm.
Abstract: A ldquosilicon on thin BOXrdquo (SOTB) CMOS with a 50-nm single metal (FUSI) gate has been developed. By employing an intrinsic channel and a metal gate, this SOTB achieves the smallest Vth variability ever reported. The measured Pelgrom coefficients of the SOTB were 1.8 and 1.5 for NMOS and PMOS, respectively, even in the case of relatively thick EOT of 1.9 nm. Both multi-Vth control as well as suppression of short-channel effects were carried out simply by adjusting the impurity concentration beneath the BOX layer while keeping the channel almost intrinsic. Inverter delay and off-current were optimized by controlling gate-overlap length by means of a dual-layer offset spacer. It is shown that, within planar-type low-power CMOS devices, the SOTB is the most scalable because of its capability of multi-Vth and excellent matching characteristics.

Patent
Brent A. Anderson1, Edward J. Nowak1
12 Aug 2008
TL;DR: In this article, the authors present an integrated circuit structure that incorporates at least two field effect transistors (FETs) that have the same conductivity type and essentially identical semiconductor bodies (i.e., the same semiconductor material and, thereby the same conduction and valence band energies).
Abstract: Disclosed are embodiments of an integrated circuit structure that incorporates at least two field effect transistors (FETs) that have the same conductivity type and essentially identical semiconductor bodies (i.e., the same semiconductor material and, thereby the same conduction and valence band energies, the same source, drain, and channel dopant profiles, the same channel widths and lengths, etc.). However, due to different gate structures with different effective work functions, at least one of which is between the conduction and valence band energies of the semiconductor bodies, these FETs have selectively different threshold voltages, which are independent of process variables. Furthermore, through the use of different high-k dielectric materials and/or metal gate conductor materials, the embodiments allow threshold voltage differences of less than 700 mV to be achieved so that the integrated circuit structure can function at power supply voltages below 1.0V. Also disclosed are method embodiments for forming the integrated circuit structure.

Patent
14 Jan 2008
TL;DR: In this paper, a method for manufacturing a dual metal gate with two transistors of different conductive types and a dielectric layer covering them is proposed, where a patterned blocking layer exposing one of the conductive type transistor is formed, followed by a second etching process to remove a portion of a gate.
Abstract: A method for manufacturing a CMOS device having dual metal gate includes providing a substrate having at least two transistors of different conductive types and a dielectric layer covering the two transistors, planarizing the dielectric layer to expose gate conductive layers of the two transistors, forming a patterned blocking layer exposing one of the conductive type transistor, performing a first etching process to remove a portion of a gate of the conductive type transistor, reforming a metal gate, removing the patterned blocking layer, performing a second etching process to remove a portion of a gate of the other conductive type transistor, and reforming a metal gate.

Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this paper, a single-sided ion implantation (I/I) scheme was proposed to reduce Vt variation of Fin-FETs in a SRAM cell, where resist shadowing is a great issue.
Abstract: Highly scaled FinFET SRAM cells, of area down to 0.128 m2, were fabricated using high-kappa dielectric and a single metal gate to demonstrate cell size scalability and to investigate Vt variability for the 32 nm node and beyond. A single-sided ion implantation (I/I) scheme was proposed to reduce Vt variation of Fin-FETs in a SRAM cell, where resist shadowing is a great issue. In the 0.187 m2 cell, at Vd = 0.6 V, a static noise margin (SNM) of 95 mV was obtained and stable read/write operations were verified from N-curve measurements. sigmaVt of transistors in 0.187 m2 cells was measured with and without channel doping and the result was summarized in the Pelgrom plot. With the 22 nm node design rule, FinFET SRAM cell layouts were compared against planar-FET SRAM cell layouts. An un-doped FinFET SRAM cell was simulated to have significant advantage in read/write margin over a planar-FET SRAM cell, which would have higher sigmaVt mainly caused by heavy doping into the channel region.

Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this article, the authors apply a systematic approach to identify a high-k/metal gate stack degradation mechanism and demonstrate that the SiO2 interfacial layer controls the overall degradation and breakdown of the highk gate stacks stressed in inversion.
Abstract: We apply a systematic approach to identify a high-k/metal gate stack degradation mechanism. Our results demonstrate that the SiO2 interfacial layer controls the overall degradation and breakdown of the high-k gate stacks stressed in inversion. Defects contributing to the gate stack degradation are associated with the high-k/metal-induced oxygen vacancies in the interfacial layer.

Patent
21 Nov 2008
TL;DR: In this paper, a process for forming metal replacement gates for NMOS and PMOS transistors with oxygen in the PMOS metal gates and metal atom enrichment in the NMOS gates was described.
Abstract: A process is disclosed of forming metal replacement gates for NMOS and PMOS transistors with oxygen in the PMOS metal gates and metal atom enrichment in the NMOS gates such that the PMOS gates have effective work functions above 4.85 eV and the NMOS gates have effective work functions below 4.25 eV. Metal work function layers in both the NMOS and PMOS gates are oxidized to increase their effective work functions to the desired PMOS range. An oxygen diffusion blocking layer is formed over the PMOS gate and an oxygen getter is formed over the NMOS gates. A getter anneal extracts the oxygen from the NMOS work function layers and adds metal atom enrichment to the NMOS work function layers, reducing their effective work functions to the desired NMOS range. Processes and materials for the metal work function layers, the oxidation process and oxygen gettering are disclosed.

Journal ArticleDOI
TL;DR: In this article, the properties of metal oxide semiconductor capacitors fabricated on molecular beam epitaxial In0.53Ga0.47As wafers with the atomic layer deposition ZrO2 gate oxide were demonstrated.
Abstract: The paper demonstrates properties of metal oxide semiconductor capacitors fabricated on molecular beam epitaxial In0.53Ga0.47As wafers with the atomic layer deposition ZrO2 gate oxide. The equivalent oxide thickness of 0.8nm was obtained for 5nm thick ZrO2, while the gate leakage current density at VFB+1V was as low as 0.1A∕cm2. Sensitivity of capacitance-voltage characteristics to the metal gate work function along with low frequency dispersion of ∼5%/decade served as a strong evidence of a nonpinned Fermi level at the oxide-InGaAs interface. Both electrical and structural properties remain stable up to 800°C.

Journal ArticleDOI
TL;DR: In this article, the energy barrier at the Al2O3 and sulfur-passivated GaAs interface is found to be 3.0±0.1 eV whereas for the unpassivated or NH4OH-treated GaAs is 3.6 eV.
Abstract: The metal gate/high-k dielectric/III-V semiconductor band alignment is one of the most technologically important parameters. We report the band offsets of the Al/Al2O3/GaAs structure and the effect of GaAs surface treatment. The energy barrier at the Al2O3 and sulfur-passivated GaAs interface is found to be 3.0±0.1 eV whereas for the unpassivated or NH4OH-treated GaAs is 3.6 eV. At the Al/Al2O3 interface, all samples yield the same barrier height of 2.9±0.2 eV. With a band gap of 6.4±0.05 eV for Al2O3, the band alignments at both Al2O3 interfaces are established.

Proceedings ArticleDOI
10 Nov 2008
TL;DR: A statistical framework is developed, which enables estimation of the key parameters of work-function distribution by identifying the physical dimensions of the devices and properties of materials used in the fabrication.
Abstract: For the first time, a new source of random threshold voltage (Vth) fluctuation in emerging metal-gate transistors is identified, analytically modeled and investigated for its device and circuit-level implications. The new source of variability, christened work-function variation (WFV), is caused by the dependency of metal work-function on the orientation of its grains. A statistical framework is developed, which enables estimation of the key parameters of work-function distribution by identifying the physical dimensions of the devices and properties of materials used in the fabrication. This paper offers three major contributions for process, device and circuit designers. First, the proposed model can be employed to identify suitable materials and fabrication processes that can reduce the impact of Vth fluctuation due to WFV. For instance, four types of metal nitride gate materials (TiN and TaN for NMOS and WN and MoN for PMOS devices) are studied and it is shown that TiN and WN result in lower Vth fluctuation. Second, device engineers can benefit from the result of this work by evaluating the WFV level of various types of classical or non-classical metal-gate CMOS transistors. As an example, it is shown that FinFET transistors are less affected by WFV compared to FD-SOI and bulk-Si devices due to their larger gate area. Third, circuit designers can utilize this model to investigate the impact of such a variation on the key performance and reliability parameters of the circuits. For instance, an SRAM cell is analyzed in the presence of Vth fluctuations due to WFV and it is shown that such variations can result in considerable performance and reliability degradation.

Patent
20 Mar 2008
TL;DR: In this article, a method for fabricating metal gate transistors and a polysilicon resistor is described, where a poly-silicon layer is formed on the substrate to cover the transistor region and the resistor region of the substrate.
Abstract: A method for fabricating metal gate transistors and a polysilicon resistor is disclosed. First, a substrate having a transistor region and a resistor region is provided. A polysilicon layer is then formed on the substrate to cover the transistor region and the resistor region of the substrate. Next, a portion of the polysilicon layer disposed in the resistor is removed, and the remaining polysilicon layer is patterned to create a step height between the surface of the polysilicon layer disposed in the transistor region and the surface of the polysilicon layer disposed in the resistor region.